2024/04/17 更新

写真a

イズミ アキラ
和泉 亮
IZUMI Akira
Scopus 論文情報  
総論文数: 0  総Citation: 0  h-index: 4

Citation Countは当該年に発表した論文の被引用数

所属
大学院工学研究院 電気電子工学研究系
職名
教授
外部リンク

出身学校

  • 1990年03月   電気通信大学   電気通信学部   材料科   卒業   日本国

出身大学院

  • 1996年03月   東京工業大学   総合理工学研究科   電子システム   博士課程・博士後期課程   修了   日本国

取得学位

  • 東京工業大学  -  博士(工学)   1996年03月

学内職務経歴

  • 2013年04月 - 2014年03月   九州工業大学   大学院工学府   電気電子工学専攻     教授

  • 2009年10月 - 現在   九州工業大学   大学院工学研究院   電気電子工学研究系     教授

論文

  • Heavy phosphorus doping of diamond by hot-filament chemical vapor deposition 査読有り 国際誌

    Katamune Y., Izumi A., Ichikawa K., Koizumi S.

    Diamond and Related Materials   134   2023年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

    n-Type diamond is commonly fabricated with phosphorus doping and chemical vapor deposition (CVD). Phosphorus atoms form a deep donor level of 0.57 eV below the bottom of the conduction band of diamond, making it difficult to reduce the electrical resistance of n-type diamond and also to form Ohmic contacts. Heavy doping is a promising technique to overcome these issues. In this study, we demonstrated heavy phosphorus doping for diamond with (111)-oriented surfaces by hot-filament CVD, which has the advantages of a large growth area and a simple apparatus configuration. The phosphorus concentration of the grown films was controllable in the range of 1018 to 1020 cm−3 by varying the ratio of phosphorus dopant, trimethylphosphine (PMe3), to methane in the gas phase. The electrical resistivity of the film with a phosphorus concentration of 1.2 × 1020 cm−3 was 42 Ω cm at room temperature. This resistivity value is comparable to that of typical heavily phosphorus-doped n-type diamond films grown by microwave plasma-enhanced CVD. Our finding suggests that hot-filament CVD can be applied to the fabrication of low-resistive n-type diamond.

    DOI: 10.1016/j.diamond.2023.109789

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=85148694518&origin=inward

  • n-Type doping of diamond by hot-filament chemical vapor deposition growth with phosphorus incorporation 査読有り

    Katamune Y., Mori D., Arikawa D., Izumi A., Shimaoka T., Ichikawa K., Koizumi S.

    Applied Physics A: Materials Science and Processing   126 ( 11 )   2020年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

    © 2020, Springer-Verlag GmbH Germany, part of Springer Nature. Epitaxial growth of n-type semiconductor diamond films on (111)-oriented diamond has been achieved by hot-filament chemical vapor deposition (HFCVD) using a methane source and a trimethylphosphine dopant source. Secondary-ion mass spectrometry showed that the phosphorus atoms are incorporated into the films in the concentration range of 1018–1019 cm−3 from the vapor phase. Hall-effect measurements confirmed n-type conductivity in a wide temperature range up to 873 K. Electrons are thermally activated from a phosphorus donor level of approximately 0.57 eV as dominant carriers under the presence of tungsten atoms with concentrations of around 1018 cm−3 from filaments. These results indicate that HFCVD has the potential to be applied to an n-type doping process for fabricating diamond electronic devices in the phosphorus concentration range of not lower than 1018 cm−3.

    DOI: 10.1007/s00339-020-04060-w

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=85093863724&origin=inward

  • Control of the chemical composition of silicon carbon nitride films formed from hexamethyldisilazane in H<inf>2</inf>/NH<inf>3</inf> mixed gas atmospheres by hot-wire chemical vapor deposition 査読有り

    Katamune Y., Mori H., Morishita F., Izumi A.

    Thin Solid Films   695   2020年02月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

    © 2019 Elsevier B.V. Silicon carbon nitride (SiCN) films were deposited by hot-wire chemical vapor deposition using hexamethyldisilazane (HMDS) as the single source gas diluted in ammonia (NH3) and hydrogen (H2) gas mixtures. The chemical composition of the SiCN films was controlled by adjusting the NH3/H2 flow rate ratio. X-ray photoelectron spectroscopy measurements revealed that the carbon and nitrogen contents of the films were controllable from 10 to 35 at.%, while the silicon content remained almost constant at 45 at.%. Although the homogeneity of the SiCN films deposited using HMDS diluted only with H2 degraded with increasing stage temperature from 400 to 800 °C, it was improved by replacing H2 with NH3. Upon introducing NH3, the nitrogen content increased as carbon content decreased accompanied by the replacement of Si[sbnd]C and C[sbnd]C bonds by Si[sbnd]N, N[sbnd]H, and C[sbnd]H bonds, which led to the deterioration of the mechanical properties of the SiCN films.

    DOI: 10.1016/j.tsf.2019.137750

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=85076848181&origin=inward

  • Formation of phosphorus-incorporated diamond films by hot-filament chemical vapor deposition using organic phosphorus solutions 査読有り

    Katamune Y., Arikawa D., Mori D., Izumi A.

    Thin Solid Films   677   28 - 32   2019年05月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

    © 2019 Phosphorus-incorporated polycrystalline diamond films were grown on Si substrates by hot-filament chemical vapor deposition using a low-risk organic phosphorus solution as a source gas, similarly to metal-organic chemical vapor deposition. The effects of growth conditions, including stage temperature, and C/H ratio, on the nucleation and crystal growth on Si surfaces, were investigated. We demonstrated that the polycrystalline films with smooth facets are formed at a stage temperature of 700 °C and a C/H ratio of 0.3%. Phosphorus incorporation into the films was confirmed from wavelength dispersive spectrometric measurements equipped with an electron probe microanalyzer.

    DOI: 10.1016/j.tsf.2019.03.006

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=85063039177&origin=inward

  • Low-temperature silicon oxidation using oxidizing radicals produced by catalytic decomposition of H 査読有り

    Katamune Yūki, Negi Takanobu, Tahara Shinichi, Fukushima Kazuya, Izumi Akira

    Jpn. J. Appl. Phys.   57 ( 12 )   2018年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

    The surface oxidization of Si(100) substrates by oxidizing species generated by the catalytic decomposition of H<inf>2</inf>O precursors on a heated tungsten wire in a mixed H<inf>2</inf>O/H<inf>2</inf>gas atmosphere was investigated. The formation of Si oxide layers was realized at stage temperatures of not more than 350 °C. From X-ray photoelectron spectroscopy measurements, their thicknesses were estimated to be 1–2 nm. In the tungsten wire temperature range from 1000 to 1450 °C, the oxidation of the wire was suppressed at H<inf>2</inf>O/H<inf>2</inf>ratios of not more than 0.2%, which hardly caused tungsten contamination of the oxide layers.

    DOI: 10.7567/JJAP.57.120301

    Scopus

    CiNii Article

    その他リンク: https://ci.nii.ac.jp/naid/150000116695

  • 熱フィラメントCVD法による有機リン溶液を用いた単結晶ダイヤモンドの成長

    片宗 優貴, 有川 大輔, 森 大地, 和泉 亮

    応用物理学会学術講演会講演予稿集 ( 公益社団法人 応用物理学会 )   2018.2 ( 0 )   1352 - 1352   2018年09月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)

    DOI: 10.11470/jsapmeeting.2018.2.0_1352

    CiNii Research

  • Growth of diamond thin films on SiCN underlayers by hot filament chemical vapor deposition 査読有り

    Katamune Y., Mori H., Izumi A.

    Thin Solid Films   635   53 - 57   2017年08月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)

    © 2016 Elsevier B.V. Silicon carbon nitride (SiCN) is a candidate as underlayer materials for the growth of diamond thin films for hard coating. Diamond thin films were grown on SiCN layers deposited on Si substrates and directly on the Si substrates for comparison, to investigate the availability of the SiCN underlayers. Both diamond films and SiCN underlayers were deposited by hot filament chemical vapor deposition (HF-CVD). The source gas for the SiCN films deposition was hexamethyldisilazane represented as (CH 3 ) 3 SiNHSi(CH 3 ) 3 . The number density of diamond crystallites grown on the SiCN underlayers was low as compared with that of the films deposited on the Si substrate. The number density is not affected by the scratch pretreatment of the SiCN underlayers with diamond powder, which is clearly different from that of the films grown on the pretreated Si substrates. This might be because the SiCN films deposited at low temperatures less than 400 °C cannot keep the surface morphology formed by the scratch treatment under the high-temperature growth condition of the diamond films.

    DOI: 10.1016/j.tsf.2016.12.010

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=85008336797&origin=inward

  • Evaluation of friction coefficient and adhesion properties of silicon carbon nitride films prepared by HWCVD 査読有り

    Yamada T., Iseda T., Kadotani Y., Izumi A.

    Acta Physica Polonica A   131 ( 3 )   463 - 466   2017年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

    © 2017, Polish Academy of Sciences. All rights reserved. We have investigated the friction-coefficient properties of silicon carbon nitride (SiCN) films deposited on stainless steel substrates and the adhesion properties of SiCN films deposited on Si(100). The SiCN films were deposited by hot-wire chemical vapor deposition using hexamethyldisilazane and ammonium. It was found that SiCN coating was able to effectively reduce the frictional coefficient of the stainless steel substrates. The adhesion strength was measured by surface-interface physical property analysis equipment (SAICAS) and was found to be 45 N/m for the as-deposited SiCN film on Si(100). Furthermore, a maximum adhesive strength of 92 N/m was obtained after treating the film for 10 min at 1000°C.

    DOI: 10.12693/APhysPolA.131.463

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=85018677071&origin=inward

  • 加熱触媒体によるOHラジカルの生成とSiの低温酸化条件の検討

    大戸 崇伸, 片宗 優貴, 和泉 亮

    表面科学学術講演会要旨集   36 ( 0 )   2016年01月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)

    低温酸化プロセスは耐熱温度の低いデバイスの酸化膜形成法として注目されている。本研究では、加熱触媒体を用いてH<sub>2</sub>O(g)を分解し、Si基板を低温酸化する方法を提案している。X線光電子分光法を用いて、作製した試料を評価したところ、Si 2pスペクトルにおいてSi-O結合のピークが確認された。酸化処理温度は約60 &ordm;Cであり、通常の熱酸化と比べ、極めて低い温度で酸化層の形成が可能であることが示唆された。

    DOI: 10.14886/sssj2008.36.0_368

    CiNii Article

    その他リンク: http://ci.nii.ac.jp/naid/130005175806

  • A 12GHz band low noise block for satellite receiver development with 0.18μm CMOS Process 査読有り

    Miyashita K., Izumi A.

    IEEJ Transactions on Electronics, Information and Systems   134 ( 11 )   1656 - 1663   2014年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

    © 2014 The Institute of Electrical Engineers of Japan. This paper presents a Ku-band low-noise block that includes a PLL with very low susceptibility to power supply in a 0.18μm CMOS technology. The front-end down-converts the input signal from the Ku-band (12∼18GHz) to the IF (∼2.1GHz). The fully integrated LNB is dedicated to both satellite receivers and microwave link products. The VCO that includes full differential varactor shows a very low supply sensitivity (Kpss=?0.18 [%/V]) that can eliminates on chip voltage regulato r. The LNB performances include 28.2dB gain, ?19.3dBm IIP3, 2.5dB NF, and ?107dBc/Hz phase noise at 1MHz offset. The front-end consumes 364mW from a 1.8V supply. The core size is about 0.95×2.3 [mm2].

    DOI: 10.1541/ieejeiss.134.1663

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=84908494636&origin=inward

  • 0.18µm CMOSプロセスを使った12GHz帯衛星放送受信機用低雑音ブロックの開発 査読有り

    宮下 清, 和泉 亮

    電気学会論文誌. C ( 一般社団法人 電気学会 )   134 ( 11 )   1656 - 1663   2014年01月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)

    This paper presents a Ku-band low-noise block that includes a PLL with very low susceptibility to power supply in a 0.18µm CMOS technology. The front-end down-converts the input signal from the Ku-band (12∼18GHz) to the IF (∼2.1GHz). The fully integrated LNB is dedicated to both satellite receivers and microwave link products. The VCO that includes full differential varactor shows a very low supply sensitivity (Kpss=-0.18 [%/V]) that can eliminates on chip voltage regulator. The LNB performances include 28.2dB gain, -19.3dBm IIP3, 2.5dB NF, and -107dBc/Hz phase noise at 1MHz offset. The front-end consumes 364mW from a 1.8V supply. The core size is about 0.95×2.3 [mm<sup>2</sup>].

    DOI: 10.1541/ieejeiss.134.1656

    CiNii Article

    その他リンク: https://ci.nii.ac.jp/naid/130004704422

  • HWCVD法によるシリコン炭窒化膜の摩擦係数評価 査読有り

    山田 知広, 川島 慎吾, 中上 昌俊, 門谷 豊, 和泉 亮

    精密工学会学術講演会講演論文集 ( 公益社団法人 精密工学会 )   2012 ( 0 )   313 - 314   2012年01月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)

    我々は、プラズマフリーで低温かつ大面積堆積が可能なホットワイヤーCVD(HWCVD)法により、シリコン炭窒化膜(SiCN)の研究を行ってきた。SiCN膜は耐食性に優れた透明絶縁膜である。本報告ではSiCN膜を機械加工分野で活用することを目的とし、SiCN膜の剥離強度、硬度、摩擦係数などシリコン炭窒化膜の特性について述べる。

    DOI: 10.11522/pscjspe.2012A.0.313.0

    CiNii Article

    その他リンク: https://ci.nii.ac.jp/naid/130004660665

  • 接触分解反応によって生成した原子状水素による金属表面の洗浄 招待有り 査読有り

    和泉 亮

    表面科学 : hyomen kagaku = Journal of the Surface Science Society of Japan ( 公益社団法人 日本表面科学会 )   31 ( 4 )   196 - 201   2010年04月

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)

    There are a lot of demands for the semiconductor manufacturing process of large-scale integrated circuit(LSI) and LSI package. Especially, low temperature processes for surface cleaning are strongly required. In this paper, we introduce a novel cleaning technology of various metals using atomic hydrogen generated by a heated catalyzer, hot wire (HW) method. In the case of solder bump cleaning in semiconductor packaging, the oxide layer on the Sn surface was completed reduced, and it was confirmed the effectiveness in improving the flip-chip bonding by the atomic hydrogen treatment. In the case of Cu nano ink-jet wiring, the resistivity was drastically decreased by the atomic hydrogen treatment.

    DOI: 10.1380/jsssj.31.196

    CiNii Article

    その他リンク: https://ci.nii.ac.jp/naid/10026319794

  • Improvement in flip-chip bonding by reduction of oxides using hydrogen radicals 査読有り

    Nakashima T., Miyamoto K., Sato M., Nogita K., Izumi A.

    Proceedings - 2009 International Symposium on Microelectronics, IMAPS 2009   1028 - 1031   2009年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)

    The demand for high-density mounting increased because of the miniaturizing of a variety of electronic equipment such as personal computers and cellular phones. In response, the use of packaging methods such as flip-chip bonding has also increased to meet this demand. However, during the soldering process, the presence of oxides on the solder bump surface can obstruct the interconnections because oxides with a high melting point do not melt during the process. Methods for removing oxides from the solder bump surface have been developed. In this paper, we propose a novel oxide reduction method for the solder bump surface. This method uses hydrogen radicals generated by a heated catalyzer consisting of a tungsten wire. We have successfully demonstrated that the removal of oxides from the solder bump surface is possible by this treatment. Furthermore, we have verified that this method is effective in improving the reliability of flip-chip bonding without flux.

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=84876923772&origin=inward

  • Crystallization of D <inf>2</inf> O thin films on Ru(0 0 1) surfaces 査読有り

    Yamauchi T., Mine K., Nakashima Y., Izumi A., Namiki A.

    Applied Surface Science   256 ( 4 )   1124 - 1127   2009年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

    The phase conversion of amorphous solid water (ASW) to crystalline ice (CI) has been investigated in the very thin (∼10 monolayers) film regime on a Ru(0 0 1) surface. We analyze the converted CI fraction with the Avrami model, and recognize that one-dimensional CI growth occurs, which can be contrasted to the three-dimensional CI growth generally established in the thick (≥ 50 monolayers) film regime. We evaluate activation energy for the ASW crystallization to be about 1.0 eV. We suggest that the ASW crystallization is not influenced by the substrate even near the substrate-ice interface. Crown Copyright © 2009.

    DOI: 10.1016/j.apsusc.2009.05.098

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=70749112671&origin=inward

  • Novel materials for electronic device fabrication using ink-jet printing technology 査読有り

    Kumashiro Y., Nakako H., Inada M., Yamamoto K., Izumi A., Ishihara M.

    Applied Surface Science   256 ( 4 )   1019 - 1022   2009年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

    Novel materials and a metallization technique for the printed electronics were studied. Insulator inks and conductive inks were investigated. For the conductive ink, the nano-sized copper particles were used as metallic sources. These particles were prepared from a copper complex by a laser irradiation process in the liquid phase. Nano-sized copper particles were consisted of a thin copper oxide layer and a metal copper core wrapped by the layer. The conductive ink showed good ink-jettability. In order to metallize the printed trace of the conductive ink on a substrate, the atomic hydrogen treatment was carried out. Atomic hydrogen was generated on a heated tungsten wire and carried on the substrate. The temperature of the substrate was up to 60 °C during the treatment. After the treatment, the conductivity of a copper trace was 3 μΩ cm. It was considered that printed wiring boards can be easily fabricated by employing the above materials. © 2009 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.apsusc.2009.05.134

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=70749122824&origin=inward

  • A novel copper interconnection cleaning by atomic hydrogen using diluted hydrogen gas 査読有り

    K. Abe,A. Izumi

    Solid state Phenomena   145-146   389 - 392   2009年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Estimation of hydrogen radical density generated from various kinds of catalysts 査読有り

    K. Abe,M. Ida,A. Izumi,S. Terashima,T. Sudo,Y. Watanabe,Y. Fukuda

    Thin Solid Films   517   3449 - 3451   2009年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

    主要雑誌

  • Novel materials for electronic devaice fabrication using ink-jet printing technology 査読有り

    Y. Kumashiro,H. Nakako,M. Inada,K. Yamamoto,A. Izumi

    Applied Surface Science   256   1019 - 1022   2009年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • 水素ラジカルを用いた酸化膜除去によるフリップチップ接続状態の改善 査読有り

    中島 毅, 宮本 幸司, 佐藤 充弘, 野北 寛太, 和泉 亮

    エレクトロニクス実装学術講演大会講演論文集 ( 一般社団法人エレクトロニクス実装学会 )   23 ( 0 )   54 - 55   2009年01月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)

    半導体部品においてICから外部システムとつなぐ接続方法のひとつであるフリップチップ接続の接続端子は半導体製品の高機能化、高速化のニーズから、接続部分の微細化が求められており、この微細化に伴い接続部分の酸化膜の影響が無視できなくなっている。そこで、本研究はHot-Wire法により生成した水素ラジカルによってはんだバンプ表面の酸化膜除去を施し、この処理によってフリップチップ接続状態の改善を確認した。

    DOI: 10.11486/ejisso.23.0_54

    CiNii Article

    その他リンク: https://ci.nii.ac.jp/naid/130005469499

  • Carbon contamination of EUV mask: Film characterization, impact on lithographic performance, and cleaning 査読有り

    Nishiyama Y., Anazawa T., Oizumi H., Nishiyama I., Suga O., Abe K., Kagata S., Izumi A.

    Proceedings of SPIE - The International Society for Optical Engineering   6921   2008年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)

    The deposition characteristics of carbon film on EUV mask surface, the impact of carbon deposition on lithography performance, and cleaning of deposited carbon film on EUV mask are studied. The density of the carbon film was found to be nearly half of that of graphite by X-ray reflectivity measurement. The impact of carbon deposition on the lithography performance was simulated by SOLID-EUV. The CD variation by carbon deposition on the mask depends on the deposition profile on the absorber pattern. Intentionally created contaminated masks were treated by a cleaning process using atomic hydrogen. The cleaning efficiency and durability of film materials are discussed.

    DOI: 10.1117/12.772412

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=79959328072&origin=inward

  • Evaluation of corrosion resistance of SiCN films deposited by HWCVD using organic liquid materials 査読有り

    T.Nakayamada,K.Matsuo,Y.Hayashi,A.Izumi,Y.Kadotani

    Thin Solid Films   516   656 - 658   2008年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Cleaning technology for EUV multilayer mirror using atomic hydrogen generated with hot wire 査読有り

    K.Motai,H.Oizumi,S.Miyagaki,I.Nishiyama,A.Izumi,T.Ueno,A.Namiki

    Thin Solid Films   516   839 - 843   2008年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Reduction of oxide layer on various metal surfaces by atomic hydrogen 査読有り

    A.Izumi,T.Ueno,Y.Miyazaki,H.Oizumi,I.Nishiyama

    Thin Solid Films   516   853 - 855   2008年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Reduction of oxide layer on various metal surfaces by atomic hydrogen treatment 査読有り

    Izumi A., Ueno T., Miyazaki Y., Oizumi H., Nishiyama I.

    Thin Solid Films   516 ( 5 )   853 - 855   2008年01月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)

    The reduction of various metallic oxides was examined. Atomic hydrogen generated on a heated tungsten catalyzer was used for reduction. It was found that Cu, Ru, Nb, Mo, Rh, Pd, Ir and Pt oxides can be reduced by irradiation with atomic hydrogen. The activation energy for oxide removal was examined and it was found that the values were very small, 10- 2 to 10- 4 eV. © 2007 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.tsf.2007.06.094

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=36749049743&origin=inward

  • A novel surface cleaning for copper interconnection using ammonium decomposed species generated by hot wire 査読有り

    Izumi A., Ueno T.

    Solid State Phenomena   134   307 - 310   2008年01月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)

    A novel Cu cleaning method using NH3 decomposed species generated on a heated tungsten wire was performed and following results were obtained. (1) The surface of Cu is cleaned and nitrided using NH3 decomposed species at substrate temperatures as low as 50°C. (2) Cu 3N is formed on the surface of Cu and the layer thickness follows the linear law for small nitridation time and the thickness follows the parabolic relationship for large time, (3) Cu3N layer has oxidation resistance and can be removed thermal treatment for 250°C.

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=38549107598&origin=inward

  • Formation of highly transparent SiCN films prepared by HWCVD 査読有り

    Izumi A., Nakayamada T.

    CIMTEC 2008 - Proceedings of the 3rd International Conference on Smart Materials, Structures and Systems - Smart Materials and Micro/Nanosystems   54   223 - 226   2008年01月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)

    Highly transparent silicon carbon nitride (SiCN) films were prepared by hot wire chemical vapor deposition (HWCVD) at low temperature as low as 40°C. Hexamethyldisilazane (HMDS) and NH3 were used as the source materials for SiCN deposition. The SiCN film prepared by only HMDS was completely transparent in the wavelength of the visible region. Moreover, there was a little absorption in the ultraviolet region. However, SiCN prepared by using HMDS and NH3 showed almost transparent both visible and UV regions. © 2008 Trans Tech Publications, Switzerland.

    DOI: 10.4028/www.scientific.net/AST.54.223

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=62649114529&origin=inward

  • Atomic hydrogen cleaning of Ru-capped EUV multilayer mirror 査読有り

    Motai K., Oizumi H., Miyagaki S., Nishiyama I., Izumi A., Ueno T., Miyazaki Y., Namiki A.

    Proceedings of SPIE - The International Society for Optical Engineering   6517 ( PART 1 )   2007年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)

    Atomic hydrogen cleaning has been developed to reduce the amount of surface oxide on Ru-capped Mo/Si multilayer mirrors for EUVL. Atomic hydrogen generated by a heated W wire catalyzer was supplied to a Ru cap layer that had been lightly oxidized by ECR O2 plasma or EUV irradiation. The effectiveness of atomic hydrogen in deoxidizing it was examined by ex situ AES, XPS, and EUV absolute reflectivity measurements; and it was found that the amount of surface oxide was reduced to the initial level and that the EUV reflectivity of a multilayer degraded by oxidation recovered. In addition, the transport of atomic hydrogen thorough a winding quartz tube was demonstrated to be a promising technique. The actual density of hydrogen radicals was directly measured under various conditions so that the conditions for generating atomic hydrogen could be optimized and the required treatment time shortened.

    DOI: 10.1117/12.711998

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=35148814926&origin=inward

  • Atomic hydrogen cleaning of surface Ru oxide formed by extreme ultraviolet irradiation of Ru-capped multilayer mirrors in H2O ambience 査読有り

    Oizumi H., Izumi A., Motai K., Nishiyama I., Namiki A.

    Japanese Journal of Applied Physics, Part 2: Letters   46 ( 25-28 )   2007年07月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

    Atomic hydrogen generated by a heated catalyzer was used to clean Ru-capped extreme ultraviolet (EUV) multilayer mirrors, the surface of which was oxidized by EUV irradiation in an H2O ambience. An analysis of the change in surface composition by X-ray photoelectron spectroscopy (XPS) revealed that atomic hydrogen deoxidized the Ru oxide to metal. The EUV reflectivity of a multilayer mirror degraded by EUV-induced oxidation was almost restored, with only a marginal change in centroid wavelength within the measurement error. This indicates that the atomic hydrogen cleaning is a promising method of prolonging the lifetime of the multilayer optics of EUV lithography. © 2007 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.46.L633

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=34547850458&origin=inward

  • Oxidation and reduction of thin Ru films by gas plasma 査読有り

    Y. Iwasaki,A. Izumi,H. Tsurumaki,A. Namiki,H. Oizumi,I. Nishiyama

    Applied Surface Science   253   8699 - 8704   2007年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • H<inf>2</inf> dilution effect in the Cat-CVD processes of the SiH <inf>4</inf>/NH<inf>3</inf> system 査読有り

    Ansari S., Umemoto H., Morimoto T., Yoneyama K., Izumi A., Masuda A., Matsumura H.

    Thin Solid Films   501 ( 1-2 )   31 - 34   2006年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)

    Gas-phase diagnostics in the catalytic chemical vapor deposition processes of the SiH4/NH3/H2 system were carried out to examine the effect of H2 dilution. The decomposition efficiency of NH3 showed a sharp decrease with the introduction of a small amount of SiH4, but this decrease was recovered by the addition of H 2 when the NH3 pressure was low. On the other hand, at higher NH3 pressures, the decomposition efficiency showed a minor dependence on the H2 partial pressure. The addition of SiH 4 to the NH3 system decreases the H-atom density by one order of magnitude, but this decrease is also recovered by H2 addition. H atoms produced from H2 must re-activate the catalyzer surfaces poisoned by SiH4 when the NH3 pressure is low. © 2005 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.tsf.2005.07.098

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=32644478433&origin=inward

  • H2 dilution effect in the Cat-CVD processes of the SiH4/NH3 system 査読有り

    S.G. Ansari,Hironobu Umemoto,Takashi Morimoto,Koji Yoneyama,Akira Izumi,Atushi Masuda,Hideki Matsumura

    Thin Solid Films   510   31 - 34   2006年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Deposition of SiCN films using organic liquid materials by HWCVD method 査読有り

    Akira Izumi,Koshi Oda

    Thin Solid Films   510   195 - 197   2006年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Ultra thin silicon nitride prepared by direct nitridation using ammonia decomposed species 査読有り

    和泉 亮

    Thin Solid Films   501   157 - 159   2006年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Reduction of oxide layer on Ru surface by atomic-hydrogen treatment 査読有り

    I.. Nishiyama,H. Oizumi,K. Motai,A. Izumi,T. Ueno,H. AKiyama,A. Namiki

    J. Vac. Sci. Tecnol. B   23 ( 6 )   3129 - 3131   2005年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Reduction of oxide layer on Ru surface by atomic-hydrogen treatment 査読有り

    Nishiyama I., Oizumi H., Motai K., Izumi A., Ueno T., Akiyama H., Namiki A.

    Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures   23 ( 6 )   3129 - 3131   2005年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

    The reduction of Ru oxide was examined as a way of cleaning the cap layer of multilayer mirrors in extreme ultraviolet lithography (EUVL). Ru deposited on a Si surface was oxidized using electron cyclotron resonance (ECR) O2 plasma, and then treated with atomic hydrogen generated with a hot tungsten wire. An analysis of the surface composition by x-ray photoelectron spectroscopy and Auger electron spectroscopy revealed that atomic hydrogen removed the Ru oxide resulting from the ECR O2 plasma treatment. Additionally, atomic force microscopy observations showed that this treatment caused no increase in the surface roughness of the Ru. This indicates that the surface oxidation of EUVL mirrors is reversible, and can largely be eliminated by using atomic hydrogen and the proper cap layer. © 2005 American Vacuum Society.

    DOI: 10.1116/1.2130356

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=29044433412&origin=inward

  • Contamination removal from EUV multilayer using atomic hydrogen generated by heated catalyzer 査読有り

    Oizumi H., Yamanashi H., Nishiyama I., Hashimoto K., Ohsono S., Masuda A., Izumi A., Matsumura H.

    Progress in Biomedical Optics and Imaging - Proceedings of SPIE   5751 ( II )   1147 - 1154   2005年09月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)

    A rapid, damage-free method of removing carbon contamination from EUV multilayer has been developed that employs atomic hydrogen generated by a heated catalyzer consisting of a W wire. Test samples were prepared by contaminating Mo/Si multilayers with carbon using EB or synchrotron radiation (SR). The insertion of a thermal shield between the catalyzer and a sample prevented radiant heat from the catalyzer from damaging the sample during cleaning. Ex situ XPS measurements and measurements of the thickness of the carbon layer with optical thickness measurement systems showed that the new treatment completely removes carbon from multilayers. The EUV reflectivity of multilayers was measured before and after cleaning to assess any resulting damage. It was found that cleaning changed the reflectivity and the centroid wavelength only marginally, within the measurement error.

    DOI: 10.1117/12.601136

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=24644459798&origin=inward

  • Improvement of deposition rate by sandblasting of tungsten wire in catalytic chemical vapor deposition 査読有り

    Heya A., Niki T., Takano M., Doguchi Y., Yonezawa Y., Minamikawa T., Muroi S., Minami S., Izumi A., Masuda A., Umemoto H., Matsumura H.

    Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers   44 ( 4 A )   1943 - 1944   2005年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

    The effects of sandblasting of tungsten wires were investigated to increase the deposition rate in catalytic chemical vapor deposition (Cat-CVD). The tungsten wires were sandblasted using silicon carbide powder. Both the surface area and surface roughness increased by this treatment. The deposition rate increased with the surface roughness when the input electric power was kept constant. ©2005 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.44.1943

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=21244503898&origin=inward

  • Moisture-resistive properties of SiN<inf>x</inf> films prepared by catalytic chemical vapor deposition below 100° C for flexible organic light-emitting diode displays 査読有り

    Heya A., Niki T., Takano M., Yonezawa Y., Minamikawa T., Muroi S., Minami S., Ikari T., Izumi A., Masuda A., Umemoto H., Matsumura H.

    Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers   44 ( 4 A )   1923 - 1927   2005年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

    Silicon nitride (SiNx) films were deposited on Si and polycarbonate (PC) substrates at temperatures below 100°C by a catalytic chemical vapor deposition (Cat-CVD) method. By adding H2 to source gases, SiH4 and NH3, it was possible to prevent the deterioration of film qualities in low-temperature deposition /rocesses. H atoms produced from H2 are effective for increasing the film densities and improving passivation properties. The water vapor transmission rate of SiN x films on PC substrates deposited at 80°C was lower than 0.3g/m2day; the detection limit for a cup method. It is concluded that the Cat-CVD method with H2 dilution is a promising technique for preparing highly moisture-resistive SiNx films at low temperatures. © 2005 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.44.1923

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=21244504623&origin=inward

  • Moisuture-Resistive Properties of SiNx Films Prepared by Catalytic Chemical Vapor Deposition below 100oC for Flexibele Organic Light-Emitting Diode Displays 査読有り

    Akira Heya,Toshikazu Niki,Masahiro Takano,Yasuo Yonezawa,Toshiharu Minamikawa,Susumu Muroi,Shigehira Minami,Tokuo Ikari,Akira Izumi,Atsushi Masuda,Hironobu Umemoto,Hideki Matsumura

    Jpn. J. Appl. Phys.   44 ( 4A )   1923 - 1927   2005年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Improvement of Deposition Rate by Sandblasting of Tungsten Wire in Catalytic Chemical Vapor Deposition 査読有り

    Akira Heya,Toshikazu Niki,Masahiro Takano,Yasuo Yonezawa,Toshiharu Minamikawa,Susumu Muroi,Shigehira Minami,Tokuo Ikari,Akira Izumi,Atsushi Masuda,Hironobu Umemoto,Hideki Matsumura

    Jpn. J. Appl. Phys.   44 ( 4A )   1943 - 1944   2005年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • A novel Surface Cleaning for Copper Interconnection using Atomic Hydrogen 査読有り

    A. Izumi,T. Ueno,A. Tsukinari,A. Takada

    ECS Transactions   1 ( 3 )   327 - 332   2005年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)

  • Effect of atomic hydrogen on preparation of highly moisture-resistive SiN<inf>x</inf> films at low substrate temperatures 査読有り

    Heya A., Niki T., Takano M., Yonezawa Y., Minamikawa T., Muroi S., Minami S., Izumi A., Masuda A., Umemoto H., Matsumura H.

    Japanese Journal of Applied Physics, Part 2: Letters   43 ( 12 A )   2004年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

    Highly moisture-resistive SiNx, films on a Si substrate are obtained at substrate temperatures of 80°C by catalytic chemical vapor deposition (Cat-CVD) using a source gas with H2. Atomic hydrogen effected the selective etching of a weak-bond regions and an increase in atomic density induced by the energy of the surface reaction. It is concluded that Cat-CVD using H2 is a promising candidate for the fabrication of highly moisture-resistive SiNx films at low temperatures.

    DOI: 10.1143/JJAP.43.L1546

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=19944431580&origin=inward

  • Highly moisture-resistive SiN<inf>x</inf> films prepared by catalytic chemical vapor deposition 査読有り

    Heya A., Niki T., Yonezawa Y., Minamikawa T., Muroi S., Izumi A., Masuda A., Umemoto H., Matsumura H.

    Japanese Journal of Applied Physics, Part 2: Letters   43 ( 10 B )   2004年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

    Silicon nitride (SiNx) films on Si and poly(ethylene terephthalate) (PET) substrates were prepared at approximately 150°C by catalytic chemical vapor deposition (Cat-CVD), using a SiH4/NH 3 gas mixture. A water vapor transmission rate as low as 0.2g/m 2day and an O2 gas transmission rate of 0.6cm 3/m2day were achieved for a stoichiometric Si 3N4 film of 77 nm thickness. Although these transmission rates depended on N/Si ratio, no optical absorption was observed under preferable deposition conditions.

    DOI: 10.1143/JJAP.43.L1362

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=10844288087&origin=inward

  • 有機液体原料を用いたHWCVD法によるSiCNエッチストッパーの作製 査読有り

    小田 晃士, 和泉 亮

    電子情報通信学会技術研究報告. ED, 電子デバイス ( 一般社団法人電子情報通信学会 )   104 ( 152 )   27 - 30   2004年06月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)

    原料ガスに非爆発原料で有機液体原料であるヘキサメチルジシラザン(HMDS)を用いたホットワイヤーCVD法でSiCN膜を堆積した。HMDSのみでも堆積は可能であり、NH_3の添加とその流量によってSiCN膜の化学組成が制御できることが明らかとなった。

    CiNii Article

    その他リンク: https://ci.nii.ac.jp/naid/110003175579

  • Effect of Atomic Hydrogen on Preparation of Highly Moisuture-Resistive SiNx Films at Low Substrate Temperatures 査読有り

    Akira Heya,Toshikazu Niki,Masahiro Takano,Yasuto Yonezawa,Toshiharu Minamikawa,Susumu Muroi,Shigehira Minami,Akira Izumi,Atsushi Masuda,Hideki Matsumura

    Jpn. J. Appl. Phys.   43 ( 12A )   L1546 - L1548   2004年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Structural and electrical characterization of ultra-thin SiO2 films prepared by catalytic oxidation method 査読有り

    Akira Izumi,Manabu Kudo,Hideki Matsumura

    Solid State Phenomena   76-77   157 - 160   2004年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Highly Moisuture-Resistive SiNx Films Prepared by Catalytic Chemical Vapor Deposition 査読有り

    Akira Heya,Toshikazu Niki,Yasuto Yonezawa,Toshiharu Minamikawa,Susumu Muroi,Akira Izumi,Atsushi Masuda,Hironobu Umemoto,Hideki Matsumura

    Jpn. J. Appl. Phys.   43 ( 10B )   L1362 - L1364   2004年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Highly moisture-resistive SiN<inf>x</inf> films by catalytic chemical vapor deposition and their application to passivation and antireflection coating for crystalline Si solar cells 査読有り

    Matsumura H., Kikkawa A., Tsutsumi T., Masuda A., Izumi A., Takahashi M., Ohtsuka H., Moschner J.

    Proceedings of the 3rd World Conference on Photovoltaic Energy Conversion   B   1147 - 1150   2003年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)

    SiNx films prepared by catalytic chemical vapor deposition (Cat-CVD) are applied to passivation and antireflection coating for crystalline Si solar cells. SiNx passivation by Cat-CVD with or without nitridation before SiNx deposition was examined. Both quite high carrier lifetime of 600 μs and low surface recombination velocity of 20-30 cm/s were obtained and these values were comparable to those obtained using remote plasma-enhanced chemical vapor deposition. Successive in-situ exposure of NH3-decomposed species after SiNx deposition also reduces the surface recombination velocity.

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=6344249000&origin=inward

  • Properties of silicon nitride films prepared by combination of catalytic-nitridation and catalytic-CVD 査読有り

    Izumi A., Kikkawa A., Higashimine K., Matsumura H.

    Materials Research Society Symposium - Proceedings   762   163 - 168   2003年12月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)

    This paper reports about the interface of silicon nitride (SiNx) formed on Si(100) prepared by combination of catalytic-nitridation and catalytic-vapor deposition method in a catalytic chemical vapor deposition system. It is found that flat interface of SiNx/Si(100) is formed by inserting nitridalion layer before growing the SiNxfilms.

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=1642541254&origin=inward

  • Formation of low-resistivity poly-Si and SiN<inf>x</inf> films by Cat-CVD for ULSI application 査読有り

    Morimoto R., Yokomori C., Kikkawa A., Izumi A., Matsumura H.

    Thin Solid Films   430 ( 1-2 )   230 - 235   2003年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)

    In this paper, bulk-Si metal-oxide-semiconductor field effect transistors (MOSFETs) are fabricated using the catalytic chemical vapor deposition (Cat-CVD) method as an alternative technology to the conventional high-temperature thermal chemical vapor deposition. Particularly, formation of low-resistivity phosphorus (P)-doped poly-Si films is attempted by using Cat-CVD-deposited amorphous silicon (a-Si) films and successive rapid thermal annealing (RTA) of them. Even after RTA processes, neither peeling nor bubbling are observed, since hydrogen contents in Cat-CVD a-Si films can be as low as 1.1%. Both the crystallization and low resistivity of 0.004 Ω·cm are realized by RTA at 1000 °C for only 5 s. It is also revealed that Cat-CVD SiNx films prepared at 250 °C show excellent oxidation resistance, when the thickness of films is larger than approximately 10 nm for wet O2 oxidation at 1100 °C. It is found that the thickness required to stop oxygen penetration is equivalent to that for thermal CVD SiNx prepared at 750 °C. Finally, complementary MOSFETs (CMOSs) of single-crystalline Si were fabricated by using Cat-CVD poly-Si for gate electrodes and SiNx films for masks of local oxidation of silicon (LOCOS). At 3.3 V operation, less than 1.0 pA μm-1 of OFF leakage current and ON/OFF ratio of 107-108 are realized, i.e. the devices can operate similarly to conventional thermal CVD process. © 2003 Elsevier Science B.V. All rights reserved.

    DOI: 10.1016/S0040-6090(03)00129-9

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0038147188&origin=inward

  • Coverage properties of silicon nitride film prepared by the Cat-CVD method 査読有り

    Osono S., Uchiyama Y., Kitazoe M., Saito K., Hayama M., Masuda A., Izumi A., Matsumura H.

    Thin Solid Films   430 ( 1-2 )   165 - 169   2003年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)

    The coverage properties of silicon nitride (Si3N4) films prepared by the catalytic chemical vapor deposition (Cat-CVD) technique were systematically studied. By increasing the catalyzer-substrate distance, the coverage was improved from 46 to 67% on a 1.0-μm line and space pattern. The etching rate of Cat-CVD Si3N4 film measured using 16BHF solution was independent of the deposited position of the micro-patterns deposited, and was approximately 3 nm/min, one order of magnitude lower than that of plasma-enhanced CVD (PE-CVD) Si3N4 film. This means that Cat-CVD Si3N4 films are denser than PE-CVD Si3N4 films, and that the quality at the side wall is equivalent to that on the top surface. That is, Cat-CVD Si3N4 films show a passivation effect, which was excellent, even at the side wall of micro-patterns. These results suggest that Si3N4 films prepared by Cat-CVD are suitable for the passivation films in microelectronic devices having a step configuration, such as TFT-LCDs and ULSIs. © 2003 Elsevier Science B.V. All rights reserved.

    DOI: 10.1016/S0040-6090(03)00100-7

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0038147201&origin=inward

  • Properties of Phosphorus-Doped Polycrystalline Silicon Films Formed by Catalytic Chemical Vapor Deposition and Successive Rapid Thermal Annealing 査読有り

    Morimoto R., Izumi A., Masuda A., Matsumura H.

    Rapid Thermal Processing for Future Semiconductor Devices   63 - 68   2003年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(研究会,シンポジウム資料等)

    This chapter presents a study on properties of phosphorus-doped polycrystalline silicon films formed by catalytic chemical vapor deposition and successive rapid thermal annealing. Phosphorus (P) doped polycrystalline silicon (poly-Si) thin films are used as gate or capacitor electrodes for Ultra Large-Scale Integrated Circuits (ULSls) and source/drain electrodes for Tin-Film Transistors (TFTs) for Active-Matrix Liquid-Crystal Displays (AMLCDs). However, the deposition temperature for conventional Low-Pressure Chemical Vapor Deposition (LPCVD) is around 600°C or higher. Further reduction of thermal budget is desirable for forming shallow junction in ULSls and for avoiding substrate damages in AMLCDs. It is known that amorphous silicon (a-Si) films prepared by Catalytic Chemical Vapor Deposition (Cat-CVD) contain a few amounts of Hydrogen (H). This is an advantage to transform a-Si films to poly-Si films by annealing because it is expected to eliminate H bubbling causing rough surface. Therefore, poly-Si films with both low resistivity and perfect flatness are expected using the Cat-CVD process. This chapter investigates the properties of P-doped poly-Si films prepared by Cat-CVD, and successive Rapid Thermal Annealing (RTA) was investigated. © 2003 Elsevier B.V. All rights reserved.

    DOI: 10.1016/B978-044451339-7/50009-X

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=84903663075&origin=inward

  • Electrical properties of silicon nitride films deposited by catalytic chemical vapor deposition on catalytically nitrided Si(100) 査読有り

    Akiko Kikkawa,Rui Morimoto,Akira Izumi,Hideki Matsumura

    Thin Solid Films 430   430   100 - 103   2003年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Electrical properties of silicon nitride films deposited by catalytic chemical vapor deposition on catalytically nitrided Si(100) 査読有り

    Akiko Kikkawa,Rui Morimoto,Akira Izumi,Hideki Matsumura

    Thin Solid Films   430   100 - 103   2003年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Formation of low-resistivity poly-Si and SiNx films by Cat-CVD for ULSI application 査読有り

    Rui Morimoto,Chisato Yokomori,Akiko Kikkawa,Akira Izumi,Hideki Matsumura

    Thin Solid Films   430   230 - 235   2003年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Recent progress of Cat-CVD research in Japan - bridging between the first and second Cat-CVD conferences 査読有り

    Hideki Matsumura,Hironobu Umemoto,Akira Izumi,Atsushi Masuda

    Thin Solid Films   430   7 - 14   2003年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Application of decomposed species generated by a heated catalyzer to ULSI fabrication processes 査読有り

    Akira Izumi,Tsubasa Miki,Hideki Matsumura

    Thin Solid Films   430   265 - 269   2003年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Coverage properties of silicon nitride film prepared by the Cat-CVD method 査読有り

    S. Osono,Y. Uchiyama,M. Kitazoe,K. Saito,M. Hayama,A. Masuda,A. Izumi,H. Matsumura

    未入力   430   165 - 169   2003年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Catalytic Chemical Vapor Deposition: Recent Development and Future Prospects 査読有り

    Masuda A., Izumi A., Umemoto H., Matsumura H.

    Shinku/Journal of the Vacuum Society of Japan   46 ( 2 )   92 - 97   2003年01月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

    DOI: 10.3131/jvsj.46.92

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=85023811255&origin=inward

  • Novel photoresist removal using atomic hydrogen generated by heated catalyzer 査読有り

    Miki T., Izumi A., Matsumura H.

    Solid State Phenomena   92   231 - 234   2003年01月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)

    Removal of various kinds of photoresists, using atomic hydrogen generated by a heated tungsten catalyzer, is investigated. It is found that the photoresist removal is possible for any photoresists. In addition, it is found that the photoresist implanted with doses as high as 1 × 1016 cm-2 can be removed completely. Moreover, the photoresist inside fine line and space patterns can be also removed.

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0038715857&origin=inward

  • Low temperature formation of silicon nitride film: Combination of Catalytic-Nitridation and Catalytic-CVD 査読有り

    Izumi A., Kikkawa A., Matsumura H.

    Materials Research Society Symposium - Proceedings   715   491 - 496   2002年12月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)

    In this work silicon nitride films are formed as substrate temperatures 250°C by combination of catalytic-nitridation and catalytic-vapor deposition method in a catalytic chemical vapor deposition system. It is found that inserting nitridation layer about 2 nm-thick before growing the silicon nitride films, injection-type hysteresis of capacitance-voltage curve is drastically reduced from 1.4 V to 0.05 V for 40 nm-thick SiNx.

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0036920139&origin=inward

  • Recent progress in industrial applications of Cat-CVD (hot-wire CVD) 査読有り

    Masuda A., Izumi A., Umemoto H., Matsumura H.

    Materials Research Society Symposium - Proceedings   715   111 - 122   2002年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)

    Rapid progresses are achieved in catalytic CVD (Cat-CVD), often called hot-wire CVD, in the past 3-years NEDO national project in Japan. Cat-CVD technology presents many advantages in thin-film formation processes; high-efficiency of gas use, large-area deposition, no ion bombardment and low-temperature deposition even below 200°C. All of the elemental techniques for the industrially applicable Cat-CVD apparatuses, such as the suppression of the metal contamination, the precise control of the substrate temperature, the life extension of the catalyzer, 1-m size uniform deposition and the chamber cleaning, have been completely developed. Sophisticatedly designed substrate holder with electrostatic chuck and showerhead equipped with catalyzers are both key technologies for these achievements. High reproducibility for film properties is also obtained by controlling the reaction between high-density radicals and chamber walls. Prototype mass-production apparatus for SiNx passivation films in GaAs devices has been already developed and this will be probably the first application of Cat-CVD in industry. These recent movements appear to promise the drastic revolution in semiconductor and flat-panel display industries by introducing Cat-CVD in very near future.

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0036914351&origin=inward

  • In situ chamber cleaning using atomic H in catalytic-CVD apparatus for mass production of a-Si:H solar cells 査読有り

    Masuda A., Ishibashi Y., Uchida K., Kamesaki K., Izumi A., Matsumura H.

    Solar Energy Materials and Solar Cells   74 ( 1-4 )   373 - 377   2002年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

    Effects of the chamber cleaning on properties of hydrogenated amorphous Si films prepared by catalytic chemical vapor deposition are shown. It is also revealed that the chamber is easily cleaned by atomic H generated on the heated catalyzer from H2 gas molecules. In situ chamber cleaning using only H2 gas is applicable to in-line apparatuses for mass production of solar cells, which brings about the reduction of the production cost. © 2002 Elsevier Science B.V. All rights reserved.

    DOI: 10.1016/S0927-0248(02)00130-7

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0036778628&origin=inward

  • What is the difference between catalytic CVD and plasma-enhanced CVD? Gas-phase kinetics and film properties 査読有り

    Masuda A., Izumi A., Umemoto H., Matsumura H.

    Vacuum   66 ( 3-4 )   293 - 297   2002年08月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

    Differences between catalytic chemical vapor deposition (Cat-CVD), often called hot-wire chemical vapor deposition, and plasma-enhanced chemical vapor deposition (PECVD) are discussed from the viewpoints of gas-phase kinetics and film properties. Remarkable superiority of Cat-CVD over PECVD is high deposition rate due to high efficiency of gas use. One metre size large-area deposition is also realized using a newly developed gas showerhead equipped with the catalyzer. © 2002 Elsevier Science Ltd. All rights reserved.

    DOI: 10.1016/S0042-207X(02)00133-1

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0037136081&origin=inward

  • Photoresist removal using atomic hydrogen generated by heated catalyzer 査読有り

    Izumi A., Matsumura H.

    Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers   41 ( 7 A )   4639 - 4641   2002年07月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)

    In this paper, a novel method for high-dose ion-implanted photoresist removal using atomic hydrogen generated by a heated tungsten catalyzer is proposed. It is found that photoresist doped as high as 1 × 1015 cm-2 can be removed completely. Quadruple mass spectroscopy detected hydrocarbon fragments during the atomic hydrogen treatment.

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0036655964&origin=inward

  • Effects of atomic hydrogen in gas phase on a-Si:H and poly-Si growth by catalytic CVD 査読有り

    Umemoto H., Nozaki Y., Kitazoe M., Horii K., Ohara K., Morita D., Uchida K., Ishibashi Y., Komoda M., Kamesaki K., Izumi A., Masuda A., Matsumura H.

    Journal of Non-Crystalline Solids   299-302   9 - 13   2002年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

    The effects of H atoms on the hydrogenated amorphous silicon and poly-Si film-growth in catalytic CVD processes were examined. The following results were obtained: (1) The H atom concentration in the gas phase can be as high as 1014 cm-3. This density is more than one order of magnitude higher than those in conventional plasma-enhanced CVD processes. (2) When SiH4 is introduced, the H atom density decreases sharply. This decrease is mainly caused by the loss processes on chamber walls, but gas phase reactions with SiH4 to produce SiH3 are also important. SiH3 thus produced should be one of the dominant deposition precursors for Si film-growth. (3) Atomic H etches not only amorphous but also crystalline Si, including single-crystalline one. (4) The main etching product is SiH4, but Si2H6 is also produced. Si2H6 derived from previously deposited Si compounds deteriorates the film properties. However, this deterioration can be avoided by regular chamber cleaning using H atoms. © 2002 Elsevier Science B.V. All rights reserved.

    DOI: 10.1016/S0022-3093(02)00928-6

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=4243919480&origin=inward

  • What is the differences between catalytic CVD and plasma-enhanced CVD? Gas-phase kinetics and film properties 査読有り

    Atsushi Masuda,Akira Izumi,Hironobu Umemoto,Hideki Matsumura

    Vacuum   66   293 - 297   2002年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Low-resistivity Phosphorus-doped polycrystalline silicon thin films formed by catalytic chemical vapor deposition and successive rapid thermal annealing 査読有り

    Rui Morimoto,Akira Izumi,Atsushi Masuda,Hideki Matsumura

    Jpn. J. Appl. Phys.   41   501 - 506   2002年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)

  • Photoresist removal using atomic hydrogen generated by heated catalyzer 査読有り

    Akira Izumi,Hideki Matsumura

    Jpn. J. Appl. Phys.   41   639 - 4641   2002年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Cat-CVD技術の開発状況と応用展開 査読有り

    和泉亮,松村英樹

    電子材料   41   61 - 65   2002年04月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)

  • Low-resistivity phosphorus-doped polycrystalline silicon thin films formed by catalytic chemical vapor deposition and successive rapid thermal annealing 査読有り

    Morimoto R., Izumi A., Masuda A., Matsumura H.

    Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers   41 ( 2 A )   501 - 506   2002年02月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

    Low-resistivity phosphorus (P)-doped polycrystalline silicon (poly-Si) thin films were prepared from amorphous silicon (a-Si) films deposited by catalytic chemical vapor deposition (Cat-CVD) and successive rapid thermal annealing (RTA). RTA was carried out at 1000°C for crystallization of heavily P-doped a-Si prepared by Cat-CVD. The films are suitable for the solid-phase crystallization (SPC) process because of their low hydrogen (H) content. It is revealed that both the crystallization and low resistivity of 0.001 Ω.cm are realized by RTA at 1000°C for only 5 s. Even after high-temperature RTA processes, perfect surface-flatness is maintained and neither peeling nor bubbling due to H atoms in the films is observed.

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0036478336&origin=inward

  • Cat-CVD as a new fabrication technology of semiconductor devices 査読有り

    Matsumura H., Izumi A., Masuda A.

    Conference on Optoelectronic and Microelectronic Materials and Devices, Proceedings, COMMAD   2002-January   323 - 328   2002年01月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)

    © 2002 IEEE. Cat-CVD, often called Hot-Wire CVD, is a new method to obtain device quality thin films at low substrate temperatures. In the method, gas molecules are decomposed by catalytic cracking reactions on heated catalyzer placed near substrates, instead of plasma decomposition in the conventional plasma enhanced CVD (PECVD), This paper is to review this Cat-CVD from fundamental mechanisms to device application. The features of Cat-CVD are demonstrated with comparison of PECVD.

    DOI: 10.1109/COMMAD.2002.1237256

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=84952669046&origin=inward

  • Electrical and structural properties of catalytic-nitrided SiO<inf>2</inf> films 査読有り

    Izumi A., Sato H., Matsumura H.

    Materials Research Society Symposium - Proceedings   670   2001年12月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)

    This paper reports structural and electrical properties of catalytic-nitrided silicon dioxide (SiO2) films. The surface of SiO2/Si(100) was nitrided at temperatures below 573 K. It was found that the incorporated N atoms are bound to Si atoms and O atoms and located on the top-surface of SiO2. Catalytic-nitrided SiO2 films have small amounts of Si-OH bonds and adequate resistance to boron (B) penetration.

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0035557148&origin=inward

  • Properties of large grain-size poly-Si films by catalytic chemical sputtering 査読有り

    Masuda A., Kamesaki K., Izumi A., Matsumura H.

    Materials Research Society Symposium - Proceedings   664   2001年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)

    Large grain-size polycrystalline Si (poly-Si) films are obtained on glass substrate by newly developed catalytic chemical sputtering method at low temperatures around 400 C. Si films are also epitaxially grown on (100) single-crystalline Si substrates. In the method Si films are deposited by the chemical transport of SiH4 species generated by the reaction between solid Si target and catalytically generated H atoms. Efficient deposition is realized using the remarkable difference in the etch rate depending on Si target temperatures. That is, SiH4 species are efficiently generated on cooled Si target by atomic-H etching and deposited on substrates with suppressed etching phenomena by heating. Full-width at half maximum of transverse-optical Raman signals originating from crystalline phase for the obtained poly-Si films is narrower than that for poly-Si prepared by excimer-laser annealing. It was noticeable that the grain size exceeds 1 μm for the films with a thickness of about 1 μm. Growth mode of poly-Si films especially in the initial stage is remarkably changed with a difference in the substrate material. It was found that formation of seed layer enhances the growth of poly-Si films on glass substrate.

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0035559135&origin=inward

  • Cat-CVD法による薄膜形成とその応用展開 査読有り

    和泉亮,松村英樹

    電子技術   43   37 - 41   2001年04月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)

  • Surface modification of silicon related materials using a catalytic CVD system for ULSI applications 査読有り

    和泉 亮

    Thin Solid Films   395   260 - 265   2001年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Proposal of catalytic chemical sputtering method and its application to prepare large grain size poly Si 査読有り

    K. Kamesaki,A. Masuda,A. Izumi,H. Matsumura

    Thin Solid Films   395   169 - 172   2001年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)

  • Novel chamber cleaning method using atomic hydrogen generated by hot catalyzer 査読有り

    K. Uchida,A. Izumi,H. Matsumura

    Thin Solid Films   395   75 - 77   2001年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)

  • Low-k silicon nitride film for copper interconnects process prepared by catalytic chemical vapor deposition method at low temperature 査読有り

    H. Sato,A. Izumi,A. Masuda,H. Matsumura

    Thin Solid Films   395   280 - 283   2001年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Formation of high mosture and dopant diffusion resistivity silicon nitride films by catalytic-CVD method 査読有り

    A. Izumi,H. Sato,H. Matsumura

    J. Phys. IV France   11   Pr3 - 901   2001年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Catalytic chemical sputtering: A novel method for obtaining large-grain polycrystalline silicon 査読有り

    Hideki Matsumura,Koji Kamesaki,Atsushi Masuda,Akira Izumi

    Jpn. Appl. Phys.   40   L289 - L291   2001年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Catalytic chemical sputtering: A novel method for obtaining large-grain polycrystalline silicon 査読有り

    Matsumura H., Kamesaki K., Masuda A., Izumi A.

    Japanese Journal of Applied Physics, Part 2: Letters   40 ( 3 B )   2001年03月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

    A novel method, called the catalytic chemical sputtering method, is proposed. In this method, hydrogen atoms generated by the catalytic cracking reaction between a heated tungsten catalyzer and hydrogen gas, react with solid silicon to draw out silicon-hydride species from it chemically, and such species are again decomposed by the catalytic cracking reaction or directly transported to form silicon films on substrates. Thus, silicon films are prepared at low substrate temperatures without using silane or disilane gases. By this method, polycrystalline silicon with a grain size larger than 1 μm is obtained at substrate temperatures of approximately 400°C.

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0035867366&origin=inward

  • Formation of high moisture and dopant diffusion resistivity silicon nitride films by catalytic-CVD method 査読有り

    Izumi A., Sato H., Matsumura H.

    Journal De Physique. IV : JP   11 ( 3 )   2001年01月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)

    High resistivity for moisture and dopant diffusion silicon nitride films are prepared by catalytic-CVD method. In this method, SiH4 and NH3 gases are decomposed by the catalytic-cracking reactions with a heated tungsten catalyzer placed near substrates, and so that silicon nitride films are formed without any help from plasma nor photochemical excitation at the temperature as high as 300°C. The properties of catalytic-CVD silicon nitride films are investigated. It is found that, 1) stoichiometric silicon nitride film whose refractive index is 2.0 shows high moisture resistance, 2) ultrathin silicon nitride film (equivalent oxide thickness: 3.5nm) blocks B diffusion even 1000°C annealing.

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0034849447&origin=inward

  • Structural and electrical characterization of ultra-thin SiO<inf>2</inf> films prepared by catalytic oxidation method 査読有り

    Izumi A., Kudo M., Matsumura H.

    Solid State Phenomena   76-77   157 - 160   2001年01月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)

    © (2001) Trans Tech Publications, Switzerland. Ultra-thin silicon dioxide films can be formed at temperatures as low as 240“C by direct oxidation of Si using active oxygen species generated by catalytic cracking reactions between tungsten and oxygen related gases in a catalytic chemical vapor deposition (Cat-CVD) system. The structural and electrical properties of such films are investigated. It is found that the density of Si atoms in intermediate oxidation states and the density of films determined by etch rate in dilute HF solution are comparable to those of a conventional thermal oxidation at 900°C. The electrical properties and dielectric constant are also comparable to those of thermally oxidized films. The interface state density is as low as 2 × 1011 cm-2eV-1 after rapid thermal annealing at 850°C for 1min in Ar.

    DOI: 10.4028/www.scientific.net/SSP.76-77.157

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=84954457476&origin=inward

  • Low temperature nitridation of SiO2 films using a catalytic-CVD system 査読有り

    Izumi A., Sato H., Matsumura H.

    Materials Research Society Symposium - Proceedings   611   2001年01月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)

    This paper reports a procedure for low-temperature nitridation of silicon dioxide (SiO2) surfaces using species produced by catalytic decomposition of NH3 on heated tungsten in catalytic chemical vapor deposition (Cat-CVD) system. The surface of SiO2/Si(100) was nitrided at temperatures as low as 200°C. X-ray photoelectron spectroscopy measurements revealed that incorporated N atoms are bound to Si atoms and O atoms and located top-surface of SiO2.

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0035033551&origin=inward

  • Preparation of high quality ultra-thin gate dielectrics by CAT-CVD and catalytic anneal 査読有り

    Sato H., Izumi A., Matsumura H.

    Materials Research Society Symposium - Proceedings   606   121 - 126   2000年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

    This paper reports a feasibility of Cat-CVD system for improvement in characteristics of ultra thin gate dielectrics. Particularly, the effects of post deposition catalytic anneal (Cat-anneal) by using hydrogen (H2)-decomposed species or NH3-decomposed species produced by catalytic cracking of H2 or NH3, are investigated. The C-V characteristics are measured by MIS diode for the 4.5nm-thick Cat-CVD SiNx and 8nm-thick sputtered SiO2 for comparison. The small hysteresis loop is seen in the C-V curve of both SiNx and SiO2 films as deposition. However, it is improved by the Cat-anneal using H2 or NH3, and the hysteresis loop completely disappears from the C-V curves for both films. This result demonstrates that the Cat-anneal is a powerful technique to improve quality of insulating films, such as Cat-CVD SiNx and even sputtered SiO2 films. In addition, the leakage current of SiNx films with 2.8nm equivalent oxide thickness is decreased by several orders of magnitude than that of the conventional thermal SiO2 of similar EOT and the breakdown field is increased several MV/cm by Cat-anneal at 300°C.

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0033709863&origin=inward

  • Low temperature direct-oxidation of Si using activated oxygen generated by tungsten catalytic reaction 査読有り

    Kudo M., Izumi A., Matsumura H.

    Materials Research Society Symposium - Proceedings   592   207 - 212   2000年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)

    Ultra-thin silicon dioxide films can be formed at temperatures as low as 220 °C by direct oxidation of Si, using active oxygen species generated by tungsten catalytic reaction in a catalytic chemical vapor deposition (Cat-CVD) system. The structural and electrical properties of such a films are investigated. It is found that the density of Si atoms in intermediate oxidation states and the density of films determined from etch rate in dilute HF solution were comparable to those of the films by a conventional thermal oxidation at 900 °C. The electrical properties, breakdown electric field and leakage current were also comparable to those of thermally oxidized films.

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0034497508&origin=inward

  • Structural and electrical characterization of ultra-thin SiO<inf>2</inf> films prepared by catalytic oxidation method 査読有り

    Izumi A., Kudo M., Matsumura H.

    Diffusion and Defect Data Pt.B: Solid State Phenomena   76-77   157 - 160   2000年12月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)

    Ultra-thin silicon dioxide films can be formed at temperatures as low as 240°C by direct oxidation of Si using active oxygen species generated by catalytic cracking reactions between tungsten and oxygen related gases in a catalytic chemical vapor deposition (Cat-CVD) system. The structural and electrical properties of such films are investigated. It is found that the density of Si atoms in intermediate oxidation states and the density of films determined by etch rate in dilute HF solution are comparable to those of a conventional thermal oxidation at 900°C. The electrical properties and dielectric constant are also comparable to those of thermally oxidized films. The interface state density is as low as 2 × 1011 cm-2eV-1 after rapid thermal annealing at 850°C for 1min in Ar.

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0034499938&origin=inward

  • Control of polycrystalline silicon structure by the two-step deposition method 査読有り

    Heya A., Izumi A., Masuda A., Matsumura H.

    Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers   39 ( 7 A )   3888 - 3895   2000年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

    Polycrystalline silicon (poly-Si) films arc obtained at temperatures below 400°C by the catalytic chemical vapor deposition (Cat-CVD), often called "hot-wire CVD" method, using two-step deposition (TSD). In TSD, the substrate temperature is changed during deposition from the initial step for a short time of 200 s to the second step of 820 s. A comparative study on the structural properties of Cat-CVD poly-Si films prepared by the TSD method and those of the films prepared by conventional method was carried out using Raman spectroscopy, atomic force microscopy, reflection high-energy electron diffraction and transmission electron microscopy observation. It is found that the surface morphology, grain structure and thickness of the amorphous Si incubation layer are all correlated, and the structures arc changed by TSD method. © 2000 The Japan Society of Applied Physics.

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0034215441&origin=inward

  • Ultrathin silicon nitride gate dielectrics prepared by catalytic chemical vapor deposition at low temperatures 査読有り

    Sato H., Izumi A., Matsumura H.

    Applied Physics Letters   77 ( 17 )   2752 - 2754   2000年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

    The feasibility of using ultrathin silicon nitride (SiNx) films, prepared by catalytic chemical vapor deposition (Cat-CVD) method, as an ultrathin gate dielectric is reported. The effects of postdeposition treatments carried out using hydrogen (H2)-decomposed species or NH3-decomposed species formed by catalytic cracking of H2and NH3are also studied. A small hysteresis loop is seen in the C-V curve of as-deposited Cat-CVD SiNxfilms. The leakage current in the case of these films with equivalent oxide thickness (EOT) of 3 nm is slightly larger than that in the conventional thermal SiO2films of similar EOT. However, it is found that the properties of Cat-CVD SiNxfilms are markedly improved by the postdeposition H2or NH3treatments, that is, the hysteresis loop disappears and the leakage current decreases by three orders of magnitude. © 2000 American Institute of Physics.

    DOI: 10.1063/1.1319513

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0001579066&origin=inward

  • Plasma and fluorocarbon-gas free Si dry etching process using a Cat-CVD system 査読有り

    Izumi A., Sato H., Hashioka S., Kudo M., Matsumura H.

    Microelectronic Engineering   51   495 - 503   2000年05月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)

    A heated tungsten filament was used to catalyze the gas phase etching of crystalline silicon with hydrogen at a substrate temperature of 200°C for obtaining plasma and contamination free etching. Etch rates, between 100 and 200 nm/min were obtained in a pure hydrogen ambient in the pressure range of 0.01-0.5 Torr. No etching effect was observed in the case of SiO2. No tungsten or other metal and carbon contaminations on the etched silicon surface were detected by X-ray photoelectron spectroscopy.

    DOI: 10.1016/S0167-9317(99)00504-3

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0033726819&origin=inward

  • Plasma and fluorocarbon-gas free Si dry ething process using a Cat-CVD system 査読有り

    Akira Izumi,Hidekazu Sato,Shingi Hashioka,Manabu Kudo,Hideki Matsumura

    Microelectronic Engineering   51-52   493 - 493   2000年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Ultrathin silicon nitride gate dielectrics prepared by catalytic chemical vapor deposition at low temperature 査読有り

    Hidekazu Sato,Akira Izumi,Hideki Matsumura

    Appl. Phys. Lett.   77   2752 - 2754   2000年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Control of polycrystalline silicon structure by the two-step deposition method 査読有り

    和泉 亮

    Jpn. J. Appl. Phys.   39   3888 - 3888   2000年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Cat-CVD process and its application to preparation of Si-based thin films 査読有り

    Matsumura H., Masuda A., Izumi A.

    Materials Research Society Symposium - Proceedings   557   67 - 78   1999年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

    This is to review the present understanding on Cat-CVD (catalytic chemical vapor deposition) or hot wire CVD. Firstly, the deposition mechanism in Cat-CVD process is briefly mentioned along with key issues such as the effect of heat radiation and a method to avoid contamination from the catalyzer. Secondly, the properties of Cat-CVD Si-based thin films such as amorphous silicon (a-Si), polycrystalline silicon (poly-Si) and silicon nitride (SiNx) films are demonstrated, and finally, the feasibility of such films for industrial application is discussed.

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0033297297&origin=inward

  • Ultra-thin high quality silicon nitride gate dielectrics prepared by catalytic chemical vapor deposition at low temperatures 査読有り

    Sato H., Izumi A., Matsumura H.

    Materials Research Society Symposium - Proceedings   567   155 - 160   1999年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)

    This is to report the feasibility of ultra-thin silicon nitride (SiNx) films, prepared by catalytic chemical vapor deposition (Cat-CVD) method, as an ultra-thin gate insulator. In the Cat-CVD method, the deposition gases such as a gaseous mixture of silane (SiH4) and ammonia (NH3) are decomposed by catalytic cracking reactions with a heated tungsten catalyzer placed near substrates, and SiNx films are formed at substrate temperatures around 300°C without using plasma. In the paper, additionally the effect of post-deposited treatments by using NH3-decomposed species or hydrogen (H2)-decomposed species formed by catalytic cracking of NH3 and H2 are also studied. It is found that a small hysteresis loop is seen in the C-V curve of as-deposited Cat-CVD SiNx films and that the leakage currents with thickness of 3nm equivalent oxide thickness (EOT) is slightly larger than that in the conventional thermal SiO2 of similar EOT. However, it is also found that the properties of Cat-CVD SiNx films are drastically improved by the post-deposited H2 or NH3 treatments, that is, the hysteresis loop disappears and the leakage current decreases by three orders of magnitude.

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0033329261&origin=inward

  • Low-temperature oxidation of silicon surface using a gas mixture of H<inf>2</inf> and O<inf>2</inf> in a catalytic chemical vapor deposition system 査読有り

    Izumi A., Sohara S., Kudo M., Matsumura H.

    Electrochemical and Solid-State Letters   2 ( 8 )   388 - 389   1999年08月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)

    This paper reports a procedure for low-temperature oxidation of silicon surfaces using activated species produced by catalytic decomposition of a gas mixture of H2 and O2 on heated tungsten in a catalytic chemical vapor deposition system. The surface of Si(100) was oxidized at temperatures as low as 200 °C. Silicon oxide layers are obtained with a maximum thickness of 4.2 nm. X-ray photoelectron spectroscopy measurements revealed that the density of intermediate oxidation states is comparable with the thermally oxidized sample whose value is as low as 7×1014 cm-2.

    DOI: 10.1149/1.1390846

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0032652671&origin=inward

  • Low-Temperature Oxidation of Silicon Surface Using a Gas Mixture of H<sub>2</sub> and O<sub>2</sub> in a Catalytic Chemical Vapor Deposition System 査読有り

    和泉 亮

    Electrochemical and Solid-State Letters   2 ( 8 )   388 - 388   1999年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Surface cleaning and nitridation of compound semiconductors using gas-decomposition reaction in Cat-CVD method 査読有り

    和泉 亮

    Thin Solid Films   343 ( 344 )   528 - 528   1999年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Low-temperature oxidation of silicon surface using a gas mixture of H<sub>2</sub> and O<sub>2</sub> in a catalytic chemical vapor deposition system 査読有り

    和泉 亮

    Electrochemical and Solid-State Lett.   2   388 - 388   1999年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Surface cleaning and nitridation of compound semiconductors using gas-decomposition reaction in Cat-CVD method 査読有り

    和泉 亮

    Thin Solid Films   343-344   528 - 528   1999年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Low temperature formation of ultra-thin SiO<inf>2</inf>layers using direct oxidation method in a catalytic chemical vapor deposition system 査読有り

    Izumi A., Sohara S., Kudo M., Matsumura H.

    Materials Research Society Symposium - Proceedings   567   115 - 120   1999年01月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)

    This paper reports a procedure for low-temperature formation of silicon dioxide (SiO2) using a catalytic chemical vapor deposition (Cat-CVD) system. The surface of Si(100) is oxidized at temperatures as low as 200°C and a few nm SiO2films are formed. Electrical and structural properties of the layers are investigated. It is found that breakdown electric field, leakage current and the density of intermediate oxidation states is comparable with thermally oxidized sample.

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0033317910&origin=inward

  • Guide for low-temperature and high-rate deposition of device quality poly-silicon films by Cat-CVD method 査読有り

    Heya A., Nakata K., Izumi A., Matsumura H.

    Materials Research Society Symposium - Proceedings   507   435 - 439   1999年01月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)

    Polycrystalline silicon (poly-Si) films are obtained at temperatures lower than 400 °C by catalytic chemical vapor deposition (Catalytic CVD = Cat-CVD) method, often called hot-wire CVD method. Structural properties of the Cat-CVD poly-Si films, deposited with various gas pressures, are studied by Raman scattering spectroscopy and X-ray diffraction technique. It is found that there are two recipes for obtaining device quality poly-Si films, that is, such poly-Si films are obtained at low gas pressure around 1 mTorr or less as already reported, and also at high gas pressure around 0.1 to 1 Torr. It is also found that, in addition to catalyzer temperature, the gas pressure is a key factors to obtain device quality poly-Si films at high deposition rates.

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0032673612&origin=inward

  • Properties of catalytic CVD SiN<inf>x</inf> for antireflection coatings 査読有り

    Izumi A., Matsumura H.

    Materials Research Society Symposium - Proceedings   555   161 - 166   1999年01月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)

    We propose a novel preparation of high quality silicon nitride (SiNx) films by catalytic chemical vapor deposition (Cat-CVD) method for the application of antireflection coatings. It is found that the refractive index (n) of the Cat-CVD SiNx films are controlled from 2.0 to 2.5 by varying the flow ratio of SiH4 and NH3. The properties of the SiNx (n = 2.0) are investigated, and it is found that, 1) the 16-BHF etching rate of the Cat-CVD SiNx film is only 23 A/min, and the film shows excellent moisture resistance, 2) the Cat-CVD SiNx film shows good insulating properties, and the breakdown electric field is higher than 9 MV/cm and the interface state density is 5.6 × 1011 cm-2eV-1, 3) the step coverage of the film is very conformal.

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0032591078&origin=inward

  • Low temperature formation of SiN<inf>x</inf> gate insulator for thin film transistor using CAT-CVD method 査読有り

    Izumi A., Ichise T., Matsumura H.

    Materials Research Society Symposium - Proceedings   508   151 - 156   1998年12月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)

    Silicon nitride films prepared by low temperatures are widely applicable as gate insulator films of thin film transistors of liquid crystal displays. In this work, silicon nitride films are formed around 300 °C by deposition and direct nitridation methods in a catalytic chemical vapor deposition system. The properties of the silicon nitride films are investigated. It is found that, 1) the breakdown electric field is over 9 MV/cm, 2) the surface state density is about 1011 cm-2eV-1 are observed in the deposition films. These result shows the usefulness of the catalytic chemical vapor deposition silicon nitride films as gate insulator material for thin film transistors.

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0032306788&origin=inward

  • Heteroepitaxial Growth of CdF<sub>2</sub> layers on CaF<sub>2</sub> / Si(111) by Molecular Beam Epitaxy 査読有り

    和泉 亮

    Japanese Journal of Applied Physics   37 ( 1 )   295 - 295   1998年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Heteroepitaxial growth of CdF<inf>2</inf>layers on CaF<inf>2</inf>/Si(III) by molecular beam epitaxy 査読有り

    Izumi A., Tsutsui K., Sokolov N.

    Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers   37 ( 1 )   295 - 296   1998年01月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)

    The effects of growth temperature and structural perfection of the CaF2buffer layer on the crystallinity of CdF2layers grown on CaF2/Si(111) substrates by molecular beam epitaxy (MBE) were investigated by double-crystal X-ray diffractometry (XRD). The crystal perfection of CdF2layers grown on pseudomorphic CaF2layers was considerably better than that of CdF2layers grown on relaxed CaF2layers. It was shown that epitaxial CdF2films with high structural quality can be grown on the pseudomorphic CaF2layer at growth temperatures as low as 50°C.

    DOI: 10.1143/JJAP.37.295

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0031646997&origin=inward

  • Low-temperature nitridation of silicon surface using NH<inf>3</inf>-decomposed species in a catalytic chemical vapor deposition system 査読有り

    Izumi A., Matsumura H.

    Applied Physics Letters   71 ( 10 )   1371 - 1372   1997年09月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)

    This letter reports a procedure for low-temperature nitridation of silicon surfaces using species produced by NH3catalytic decomposition on heated tungsten in a catalytic chemical vapor deposition system. The surface of Si(100) was nitrided at temperatures as low as 200 °C. Silicon oxinitride films are obtained with a stoichiometry Si:N:O=1:0.9:0.3, a maximum thickness as high as 4.8 nm and an electrical breakdown field of 6 MV/cm. © 1997 American Institute of Physics.

    DOI: 10.1063/1.119897

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0001125632&origin=inward

  • Low-temperature nitridation of silicon surface using NH<sub>3</sub>-decomposed species in a Catalytic chemical vapor deposition system 査読有り

    和泉 亮

    Applied Physics Letters   71 ( 10 )   1371 - 1371   1997年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • 触媒CVD(Cat-CVD)法による低温薄膜形成 査読有り

    和泉 亮

    表面   36 ( 3 )   149 - 149   1997年04月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)

  • Cat-CVD法を用いた薄膜堆積と半導体表面改質 査読有り

    和泉 亮

    表面技術   48 ( 11 )   1082 - 1082   1997年04月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)

  • CdF<sub>2</sub>/CaF<sub>2</sub> Resonant Tunneling Diode Fabricated on Si(111) 査読有り

    和泉 亮

    Japanese Journal of Applied Physics   36 ( 3B )   1849 - 1849   1997年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • CdF<inf>2</inf>/CaF<inf>2</inf> resonant tunneling diode fabricated on Si(111) 査読有り

    Izumi A., Matsubara N., Kushida Y., Tsutsui K., Sokolov N.

    Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers   36 ( 3 SUPPL. B )   1849 - 1852   1997年03月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)

    We propose use of a new material, CdF2, and a heterostructure composed of CdF2 and CaF2 for applications of Si-based quantum effect devices. The optimum growth temperature for each layer of a CaF2/CdF2/CaF2 heterostructure grown by molecular beam epitaxy on a Si(111) substrate was determined. Resonant tunneling diodes consisting of this heterostructure on Si were fabricated and negative differential resistance whose P/V current ratio was 24 at maximum was detected at room temperature.

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0001430554&origin=inward

  • Formation of large conduction band discontinuities of heterointerfaces using CdF<inf>2</inf> and CaF<inf>2</inf> on Si(111) 査読有り

    Izumi A., Matsubara N., Kushida Y., Tsutsui K., Sokolov N.

    Materials Research Society Symposium - Proceedings   448   171 - 175   1997年01月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)

    We proposed use of a new CdF2/CaF2 heterointerface for the formation of large conduction band discontinuities to apply quantum effect devices fabricated on Si substrates. Resonant tunneling diodes using this heterointerface on Si were fabricated and negative differential resistance whose P/V current ratio of 24 at highest was observed at room temperature.

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0030719816&origin=inward

  • Low-temperature formation of device-quality polysilicon films by cat-CVD method 査読有り

    Matsumura H., Heya A., Iizuka R., Izumi A., He A., Otsuka N.

    Materials Research Society Symposium - Proceedings   452   983 - 988   1997年01月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)

    Polycrystalline silicon (poly-Si) films are deposited at temperatures lower than 300-400°C by the cat-CVD method. In the method, a SiH4 and H2 gas-mixture is decomposed by catalytic cracking reactions with a heated tungsten catalyzer placed near substrates. Carrier transport, optical and structural properties are investigated for this cat-CVD poly-Si. The films show both large carrier mobility and large optical absorption for particular deposition conditions. The cat-CVD poly-Si films are found to be one of the useful materials for thin film transistors and thin film solar cells.

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0030699044&origin=inward

  • Growth of CdF <inf>2</inf> /CaF <inf>2</inf> Si(111) heterostructure with abrupt interfaces by using thin CaF <inf>2</inf> buffer layer 査読有り

    Izumi A., Kawabata K., Tsutsui K., Sokolov N., Novikov S., Khilko A.

    Applied Surface Science   104-105   417 - 421   1996年09月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)

    The minimum thickness of CaF 2 buffer layer in CdF 2 /CaF 2 /Si(111) heterostructure to grow CdF 2 epitaxialy on Si(111) under less stringent vacuum conditions (∼ 10 -8 Torr) was found to be 0.9 nm. Chemical reaction and growth mode at the initial stage of growth of CdF 2 layer on Si(111) and on CaF 2 /Si(111) were studied with the in-situ X-ray photoelectron spectroscopy method. It was found that oxygen-related, chemical reaction of the CdF 2 occurred on the Si(111) surface, but not on the CaF 2 (111) surface. Two-dimensional growth of CdF 2 layer from the initial stage was observed on the CaF 2 buffer layer. These results indicate that the CaF 2 buffer layer plays a role as a barrier layer to chemical reaction between the CdF 2 and the Si substrates. A short-period CdF 2 /CaF 2 superlattice was grown on CaF 2 /Si(111) and the XRD showed satellite peaks which is consistent with the growth rate. This result shows that the structure has abrupt interfaces with less than a few monolayers.

    DOI: 10.1016/S0169-4332(96)00180-8

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0030234018&origin=inward

  • Growth of CdF<sub>2</sub> / CaF<sub>2</sub> Si(III) heterostructure with abrupt interfaces by using thin CaF<sub>2</sub> buffer layer 査読有り

    和泉 亮

    Applied surface science   104   105417 - 105417   1996年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Study of band offsets in CdF<inf>2</inf>/CaF<inf>2</inf>/Si(111) heterostructures using x-ray photoelectron spectroscopy 査読有り

    Izumi A., Hirai Y., Tsutsui K., Sokolov N.

    Applied Physics Letters   67   1995年12月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)

    The valence band offsets at the heterointerfaces of the CdF2/CaF2/Si(111) structure grown by molecular beam epitaxy were evaluated using x-ray photoelectron spectroscopy, and the energy band diagram of this heterostructure was proposed. It was found that the interface of CdF2/CaF2has large conduction band offset: 2.9 eV, and the energy level of CdF2conduction band edge is below that of Si.© 1995 American Institute of Physics.

    DOI: 10.1063/1.114595

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=36449009524&origin=inward

  • MBE-growth and characterization of CdF<inf>2</inf> layers on Si(111) 査読有り

    Novikov S., Faleev N., Izumi A., Khilko A., Sokolov N., Solov'ev S., Tsutsui K.

    Microelectronic Engineering   28 ( 1-4 )   213 - 216   1995年06月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

    Epitaxial CdF2 layers and CdF2CaF2 superlattices have been grown on Si(111) substrates by means of molecular beam epitaxy. Double crystal X-ray diffractometry showed their high structural perfection. X-ray photoelectron spectroscopy revealed that CdF2 conduction band lies below that of Si by 0.8 eV. It was found from the cathodoluminescence measurements that Eu3+ ions in epitaxial layer occupy C4v sites. © 1995.

    DOI: 10.1016/0167-9317(95)00046-B

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0029321610&origin=inward

  • High-quality CdF<sub>2</sub> layer growth on CaF<sub>2</sub>/Si((]G0003[)) 査読有り

    和泉 亮

    Journal of Crystal Growth   150   1115 - 1115   1995年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Study of band offsets in CdF<sub>2</sub>/CaF<sub>2</sub>/Si((]G0003[))heterostructures using X-ray photoelectron spectroscopy 査読有り

    和泉 亮

    Applied Physics Letters   67 ( 19 )   2792 - 2792   1995年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Characterization of molecular beam epitaxy grown CdF<sub>2</sub> layers by x-ray diffraction and CaF<sub>2</sub> : Sm photoluminescence probe 査読有り

    和泉 亮

    Journal of vacuum Science and Technology A   13 ( 6 )   2703 - 2703   1995年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • High-quality CdF<sub>2</sub> layer growth on CaF<sub>2</sub> / Si(III) 査読有り

    和泉 亮

    Journal of Crystal Growth   150   1115 - 1115   1995年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • MBE-grown and Characterization of CdF<sub>2</sub> layers on Si(III) 査読有り

    和泉 亮

    Microelectronic Engineering   28   213 - 213   1995年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)

  • High-quality CdF<inf>2</inf> layer growth on CaF<inf>2</inf>/Si(111) 査読有り

    Izumi A., Tsutsui K., Sokolov N., Faleev N., Gastev S., Novikov S., Yakovlev N.

    Journal of Crystal Growth   150   1115 - 1118   1995年01月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)

    Epitaxial CdF2 was grown on the coherent or relaxed CaF2/Si(111) by molecular beam epitaxy. The structures were characterized by reflection high-energy electron diffraction, Rutherford backscattering spectroscopy and double-crystal X-ray diffraction. It was shown that the crystallinity of the CdF2 layer grown on the CaF2/Si(111) structure, where the CaF2 was coherently grown on the Si, was quite good so as to obtain 51 arc sec of full width at half maximum of X-ray diffraction. © 1995, All rights reserved.

    DOI: 10.1016/0022-0248(95)80112-P

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0029309111&origin=inward

  • Surface modification of CaF<inf>2</inf> in atomic layer scale by electron beam exposure 査読有り

    Hwang S., Izumi A., Tsutsui K., Furukawa S.

    Applied Surface Science   82-83 ( C )   523 - 527   1994年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

    Surface modification of CaF2/Si(111) was studied for the purpose of 1 ML adsorption of group-V atoms on a fluoride surface which is applicable to heteroepitaxy of III-V compound semiconductors on CaF2. By using Rutherford backscattering (RBS) and X-ray photoelectron spectroscopy (XPS), it was found that 1 ML of As and P were successfully adsorbed on a CaF2 surface, and that a 1 ML self-limiting adsorption of As for the electron beam exposure was realized. Also, we propose a model for the adsorption conditions depending on the substrate temperature during surface modification. © 1994.

    DOI: 10.1016/0169-4332(94)90268-2

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0028762068&origin=inward

  • Surface modification of CaF<inf>2</inf> on Si(111) by low-energy electron beam for over growth of GaAs films 査読有り

    Izumi A., Tsutsui K., Furukawa S.

    Journal of Applied Physics   75 ( 5 )   2307 - 2311   1994年12月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)

    Surface modifications of epitaxial CaF2 on Si(111) by low-energy electron beam, in order to get modified surfaces with reduced damage on which high quality GaAs films could be grown, were studied. By using x-ray photoelectron spectroscopy measurements, it was found that it is possible to modify the surface of CaF2(111) even though the energy of the electron beam was as low as ∼10 eV. The generation of defects in bulk CaF2 and the diffusion of As were found at an energy of 305 eV, whereas no such evidence of defect, but rather, a stable adsorption of As on the surface of CaF2, was observed at an energy of 40 eV.

    DOI: 10.1063/1.356272

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=36449000685&origin=inward

  • Molecular beam epitaxy of CdF<inf>2</inf> layers on CaF<inf>2</inf>(111) and Si(111) 査読有り

    Sokolov N., Gastev S., Novikov S., Yakovlev N., Izumi A., Furukawa S.

    Applied Physics Letters   64 ( 22 )   2964 - 2966   1994年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

    Cadmium fluoride single crystal layers have been grown on CaF 2/Si(111) or Si(111) substrates by molecular beam epitaxy. The structures are expected to have attractive electronic properties. The growth was monitored by reflections high energy electron diffraction (RHEED) techniques. A distinct (3×3) R30°superstructure has been observed on the CdF 2(111) surface at growth temperatures below 150°C. RHEED intensity oscillations indicate a two-dimensional growth mode of CdF 2.

    DOI: 10.1063/1.111395

    Scopus

    その他リンク: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0000359222&origin=inward

  • Molecular beam epitaxy of CdF<sub>2</sub> layers on CaF<sub>2</sub>(III) and Si(III) 査読有り

    和泉 亮

    Applied Physics Letters   64 ( 22 )   2964 - 2964   1994年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Surface modification of CaF<sub>2</sub> on Si((]G0003[))by low energy electron beam for over growth of GaAs films 査読有り

    和泉 亮

    Journal of Applied Physics   75 ( 5 )   2307 - 2307   1994年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

  • Surface modification of CaF<sub>2</sub> in atomic layer scale by electron beam exposure 査読有り

    和泉 亮

    Applied Surface Science   82   83523 - 83523   1994年04月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)

▼全件表示

口頭発表・ポスター発表等

  • 有機液体原料を用いたHWCVD法によるSiCN薄膜の耐候性評価

    第3回Cat-CVD研究会講演要旨集 

     詳細を見る

    開催期間: 2006年06月23日 - 2006年06月24日   記述言語:日本語   開催地: 長岡  

  • 有機液体原料を用いたHW-CVD法によるSiCN薄膜の堆積とその電気的特性評価

    第3回Cat-CVD研究会講演要旨集 

     詳細を見る

    開催期間: 2006年06月23日 - 2006年06月24日   記述言語:日本語   開催地: 長岡  

  • 加熱触媒体により生成した原子状水素による金属の洗浄

    新規な薄膜・表面現象とその応用の最前線関する研究会((兼)第11回九州薄膜・表面研究会) 

     詳細を見る

    開催期間: 2006年06月17日   記述言語:日本語   開催地: 北九州  

  • 有機液体原料を用いたホットワイヤーCVD法におけるシリコン炭窒化膜の堆積

    新規な薄膜・表面現象とその応用の最前線関する研究会((兼)第11回九州薄膜・表面研究会) 

     詳細を見る

    開催期間: 2006年06月17日   記述言語:日本語   開催地: 北九州  

  • 原子状水素によるRu酸化膜還元処理の基礎検討(II)

    第53回応用物理学会関係連合会講演予稿集 

     詳細を見る

    開催期間: 2006年03月25日   記述言語:日本語   開催地: 武蔵工業大学  

  • 有機液体原料を用いたHWCVD法によるSiCN膜の耐食性評価

    第53回応用物理学会関係連合会講演予稿集 

     詳細を見る

    開催期間: 2006年03月24日   記述言語:日本語   開催地: 武蔵工業大学  

  • 多結晶Ru膜の酸素プラズマによる酸化と水素プラズマによる酸化物除去

    第53回応用物理学会関係連合会講演予稿集 

     詳細を見る

    開催期間: 2006年03月24日   記述言語:日本語   開催地: 武蔵工業大学  

  • 加熱触媒体により生成した原子状水素による金属酸化物除去特性

    第53回応用物理学会関係連合会講演予稿集 

     詳細を見る

    開催期間: 2006年03月24日   記述言語:日本語   開催地: 武蔵工業大学  

  • 加熱触媒体により生成した活性種による金属酸化物の除去

    第66回応用物理学会学術講演会講演予稿集 

     詳細を見る

    開催期間: 2005年09月08日   記述言語:日本語   開催地: 徳島大学  

  • 有機液体原料を用いたHW-CVD法によるSiCN膜の電気的特性評価

    第66回応用物理学会学術講演予稿集 

     詳細を見る

    開催期間: 2005年09月08日   記述言語:日本語   開催地: 徳島大学  

  • 有機液体原料を用いたHW-CVD法によるSiCN薄膜の堆積とその特性評価

    第2回Cat-CVD研究会講演要旨集 

     詳細を見る

    開催期間: 2005年06月23日 - 2005年06月24日   記述言語:日本語   開催地: 大阪  

  • 加熱触媒体により生成した活性種による銅配線の表面洗浄

    第2回Cat-CVD研究会講演要旨集 

     詳細を見る

    開催期間: 2005年06月23日 - 2005年06月24日   記述言語:日本語   開催地: 大阪  

  • 原子状水素によるRu酸化膜還元処理の基礎検討(I)

    第52回応用物理学連合講演予稿集 

     詳細を見る

    開催期間: 2005年03月30日   記述言語:日本語   開催地: 埼玉大学  

  • Contamination Removal for EUV multilayer Optics, Utilizing Atomic Hydrogen Generated by Heated Catalyzer

    3rd EUVL Symposium 

     詳細を見る

    開催期間: 2004年11月01日 - 2004年11月04日   記述言語:日本語   開催地:日本 Miyazaki  

  • 加熱触媒体により生成した活性種を用いた銅の表面洗浄

    第65回応用物理学会学術講演会講演予稿集 

     詳細を見る

    開催期間: 2004年09月   記述言語:日本語   開催地: 東北学院大学  

  • EUVリソグラフィーにおける原子状水素を用いたCコンタミネーション除去の基礎検討(II)-熱輻射の回避

    第65回応用物理学会学術講演会講演予稿集 

     詳細を見る

    開催期間: 2004年09月   記述言語:日本語   開催地: 東北学院大学  

  • 有機液体原料を用いたホットワイヤーCVD法によるSiN系薄膜の特性評価

    第65回応用物理学会学術講演会講演予稿集 

     詳細を見る

    開催期間: 2004年09月   記述言語:日本語   開催地: 東北学院大学  

  • Ultra thin silicon nitride prepared by direct nitridation using ammonia decomposed species

    Extended Abstract of the 3rd International Conference on Hot-Wire (Cat-CVD) Process 

     詳細を見る

    開催期間: 2004年08月23日 - 2004年08月27日   記述言語:日本語   開催地: The Netherlands Utrecht  

  • H2 Dilution Effect in the Cat-CVD Processes of the SiH4/NH3 System

    Extended Abstract of the 3rd International Conference on Hot-Wire (Cat-CVD) Process 

     詳細を見る

    開催期間: 2004年08月23日 - 2004年08月27日   記述言語:日本語   開催地: The Netherlands Utrecht  

  • Deposition of SiCN films using organic liquid materials by HWCVD method

    Extended Abstract of the 3rd International Conference on Hot-Wire CVD (Cat-CVD) Process 

     詳細を見る

    開催期間: 2004年08月23日 - 2004年08月27日   記述言語:日本語   開催地: The Netherlands  

  • 非爆発原料を用いたHWCVD法によるSiN系薄膜の堆積

    機能性薄膜の作製と新規表面・界面に関するワークショップ((兼)第9回九州薄膜・表面研究会) 

     詳細を見る

    開催期間: 2004年06月   記述言語:日本語   開催地: 北九州  

  • 有機液体原料を用いたHWCVD法によるSiN系薄膜の堆積

    本人

    第1回Cat-CVD研究会講演要旨集 

     詳細を見る

    開催期間: 2004年05月   記述言語:日本語   開催地: 金沢  

  • Formation of SiCN etch stopper films using organic liquid materials by Hot-wire CVD

    Tech. Dig. 2004 Asia-Pacific Workshop on Fundamentals and Application of Advanced Semiconductor Devices 

     詳細を見る

    開催期間: 2004年04月   記述言語:英語  

  • 有機液体原料を用いたホットワイヤーCVD法によるSiCN膜の作製

    第51回応用物理学会関係連合会講演予稿集 

     詳細を見る

    開催期間: 2004年03月   記述言語:日本語   開催地: 東京工科大学  

  • 接触分解反応により生成した分解種を用いた半導体材料の表面改質

    本人

    第19回九州・山口プラズマ研究会 

     詳細を見る

    開催期間: 2003年11月   記述言語:日本語   開催地: 福岡  

▼全件表示

報道関係

  • 九工大、炭窒化ケイ素薄膜を安全に作製できるHWCVD法を開発

    和泉亮

    日経BPニュース  2005年08月12日

科研費獲得実績

  • 高密度ラジカル法による界面制御層を導入したパワーデバイス用絶縁膜の形成

    研究課題番号:25420290  2013年04月 - 2016年03月   基盤研究(C)

  • 高密度ラジカル処理法による基板表面の超親水化と高品質絶縁膜の形成

    研究課題番号:17560009  2005年04月 - 2007年03月   基盤研究(C)

  • 界面制御層を導入した高品質ゲート絶縁膜の低温形成

    研究課題番号:14750008  2002年04月 - 2004年03月   若手研究(B)

担当授業科目(学内)

  • 2022年度   先端電気工学特論

  • 2022年度   集積回路プロセス特論

  • 2022年度   半導体デバイス

  • 2022年度   電気回路Ⅰ

  • 2022年度   専門英語Ⅰ

  • 2022年度   電気電子工学PBL実験

  • 2022年度   半導体デバイス

  • 2022年度   電気回路Ⅰ

  • 2022年度   電気電子工学実験入門

  • 2021年度   集積回路プロセス特論

  • 2021年度   半導体デバイス

  • 2021年度   電気回路Ⅰ

  • 2021年度   専門英語Ⅰ

  • 2021年度   電気電子工学PBL実験

  • 2021年度   半導体デバイス

  • 2021年度   電気回路Ⅰ

  • 2021年度   電気電子工学実験入門

  • 2020年度   電気回路Ⅰ

  • 2020年度   半導体デバイス

  • 2020年度   電気回路Ⅰ

  • 2020年度   電気電子工学実験入門

  • 2020年度   集積回路プロセス特論

  • 2020年度   半導体デバイス

  • 2019年度   集積回路プロセス特論

  • 2019年度   半導体デバイス

  • 2019年度   電気回路Ⅰ

  • 2019年度   半導体デバイス

  • 2019年度   電気回路Ⅰ

  • 2019年度   電気電子工学実験入門

  • 2019年度   電気電子工学概論A

  • 2018年度   集積回路プロセス特論

  • 2018年度   電気電子工学実験入門

  • 2017年度   半導体デバイス

  • 2017年度   電気回路Ⅰ

  • 2017年度   電気電子工学実験入門

  • 2017年度   集積回路プロセス特論

  • 2016年度   半導体デバイス

  • 2016年度   電気回路Ⅰ

  • 2016年度   電気電子工学実験入門

  • 2016年度   集積回路プロセス特論

  • 2015年度   集積回路プロセス特論

  • 2015年度   電気電子工学実験入門

  • 2015年度   電気回路Ⅰ

  • 2015年度   半導体デバイス

  • 2014年度   英文講読

  • 2014年度   半導体デバイス

  • 2014年度   集積回路プロセス特論

  • 2014年度   電気電子工学実験入門

  • 2014年度   電気回路Ⅰ

  • 2013年度   集積回路プロセス特論

  • 2013年度   半導体デバイス

  • 2013年度   電気回路Ⅰ

  • 2013年度   電気電子工学実験入門

  • 2012年度   集積回路プロセス特論

  • 2012年度   エネルギー・デバイス実験

  • 2012年度   デバイス基礎工学

  • 2012年度   電気回路Ⅰ

  • 2012年度   電気電子工学実験入門

  • 2012年度   電気電子物性Ⅰ

  • 2011年度   集積回路プロセス特論

  • 2011年度   エネルギー・デバイス実験

  • 2011年度   デバイス基礎工学

  • 2011年度   電気回路Ⅰ

  • 2011年度   電気電子工学実験入門

▼全件表示