Updated on 2024/04/17

写真a

 
IZUMI Akira
 
Scopus Paper Info  
Total Paper Count: 0  Total Citation Count: 0  h-index: 4

Citation count denotes the number of citations in papers published for a particular year.

Affiliation
Faculty of Engineering Department of Electrical and Electronic Engineering
Job
Professor
External link

Undergraduate Education

  • 1990.03   The University of Electro-Communications   Faculty of Electro Communications   Graduated   Japan

Post Graduate Education

  • 1996.03   Tokyo Institute of Technology   Graduate School, Division of Integrated Science and Engineering   Doctoral Program   Completed   Japan

Degree

  • Tokyo Institute of Technology  -  Doctor of Engineering   1996.03

Biography in Kyutech

  • 2013.04
    -
    2014.03
     

    Kyushu Institute of Technology   Graduate School of Engineering   Department of Electrical Engineering and Electronics   Professor  

  • 2009.10
     

    Kyushu Institute of Technology   Faculty of Engineering   Department of Electrical and Electronic Engineering   Professor  

Papers

  • Heavy phosphorus doping of diamond by hot-filament chemical vapor deposition Reviewed International journal

    Katamune Y., Izumi A., Ichikawa K., Koizumi S.

    Diamond and Related Materials   134   2023.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

    DOI: 10.1016/j.diamond.2023.109789

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=85148694518&origin=inward

  • n-Type doping of diamond by hot-filament chemical vapor deposition growth with phosphorus incorporation Reviewed

    Katamune Y., Mori D., Arikawa D., Izumi A., Shimaoka T., Ichikawa K., Koizumi S.

    Applied Physics A: Materials Science and Processing   126 ( 11 )   2020.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)

    DOI: 10.1007/s00339-020-04060-w

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=85093863724&origin=inward

  • Control of the chemical composition of silicon carbon nitride films formed from hexamethyldisilazane in H<inf>2</inf>/NH<inf>3</inf> mixed gas atmospheres by hot-wire chemical vapor deposition Reviewed

    Katamune Y., Mori H., Morishita F., Izumi A.

    Thin Solid Films   695   2020.02

     More details

    Language:English   Publishing type:Research paper (scientific journal)

    DOI: 10.1016/j.tsf.2019.137750

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=85076848181&origin=inward

  • Formation of phosphorus-incorporated diamond films by hot-filament chemical vapor deposition using organic phosphorus solutions Reviewed

    Katamune Y., Arikawa D., Mori D., Izumi A.

    Thin Solid Films   677   28 - 32   2019.05

     More details

    Language:English   Publishing type:Research paper (scientific journal)

    DOI: 10.1016/j.tsf.2019.03.006

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=85063039177&origin=inward

  • Low-temperature silicon oxidation using oxidizing radicals produced by catalytic decomposition of H Reviewed

    Katamune Y., Negi T., Tahara S., Fukushima K., Izumi A.

    Japanese Journal of Applied Physics   57 ( 12 )   2018.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)

    DOI: 10.7567/JJAP.57.120301

    Scopus

    CiNii Article

    Other Link: https://ci.nii.ac.jp/naid/150000116695

  • Growth of single-crystalline diamond by hot filament CVD using organic phosphorus solution

    Katamune Yuki, Arikawa Daisuke, Mori Daichi, Izumi Akira

    JSAP Annual Meetings Extended Abstracts ( The Japan Society of Applied Physics )   2018.2 ( 0 )   1352 - 1352   2018.09

     More details

    Language:Japanese   Publishing type:Research paper (conference, symposium, etc.)

    DOI: 10.11470/jsapmeeting.2018.2.0_1352

    CiNii Research

  • Growth of diamond thin films on SiCN underlayers by hot filament chemical vapor deposition Reviewed

    635   53 - 57   2017.08

     More details

    Authorship:Corresponding author   Language:English   Publishing type:Research paper (scientific journal)

    DOI: 10.1016/j.tsf.2016.12.010

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=85008336797&origin=inward

  • Evaluation of friction coefficient and adhesion properties of silicon carbon nitride films prepared by HWCVD Reviewed

    131 ( 3 )   463 - 466   2017.03

     More details

    Language:English   Publishing type:Research paper (scientific journal)

    DOI: 10.12693/APhysPolA.131.463

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=85018677071&origin=inward

  • Study of low-temperature oxidation of silicon and OH radical generation by heated catalyzer

    Negi Takanobu, Katamune Yuki, Izumi Akira

    Abstract of annual meeting of the Surface Science of Japan   36 ( 0 )   2016.01

     More details

    Language:Japanese   Publishing type:Research paper (other academic)

    DOI: 10.14886/sssj2008.36.0_368

    CiNii Article

    Other Link: http://ci.nii.ac.jp/naid/130005175806

  • A 12GHz band low noise block for satellite receiver development with 0.18μm CMOS Process Reviewed

    134 ( 11 )   1656 - 1663   2014.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)

    DOI: 10.1541/ieejeiss.134.1663

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=84908494636&origin=inward

  • A 12GHz Band Low Noise Block for Satellite Receiver Development with 0.18µm CMOS Process Reviewed

    Miyashita Kiyoshi, Izumi Akira

    IEEJ Transactions on Electronics, Information and Systems ( The Institute of Electrical Engineers of Japan )   134 ( 11 )   1656 - 1663   2014.01

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)

    This paper presents a Ku-band low-noise block that includes a PLL with very low susceptibility to power supply in a 0.18µm CMOS technology. The front-end down-converts the input signal from the Ku-band (12∼18GHz) to the IF (∼2.1GHz). The fully integrated LNB is dedicated to both satellite receivers and microwave link products. The VCO that includes full differential varactor shows a very low supply sensitivity (Kpss=-0.18 [%/V]) that can eliminates on chip voltage regulator. The LNB performances include 28.2dB gain, -19.3dBm IIP3, 2.5dB NF, and -107dBc/Hz phase noise at 1MHz offset. The front-end consumes 364mW from a 1.8V supply. The core size is about 0.95×2.3 [mm<sup>2</sup>].

    DOI: 10.1541/ieejeiss.134.1656

    CiNii Article

    Other Link: https://ci.nii.ac.jp/naid/130004704422

  • Evaluation of friction-coefficient of silicon carbon nitride films by HWCVD method Reviewed

    Yamada Tomohiro, Kawashima Shingo, Nakagami Masatoshi, Kadotani Yutaka, Izumi Akira

    Proceedings of JSPE Semestrial Meeting ( The Japan Society for Precision Engineering )   2012 ( 0 )   313 - 314   2012.01

     More details

    Language:Japanese   Publishing type:Research paper (other academic)

    We have studied the silicon carbon nitride (SiCN) film by hot-wire CVD (HWCVD) method which is possible to deposit films with low temperature in large area.This report describes the characteristics of SiCN films, such as peel-strength, hardness, and a coefficient of friction, for the purpose of utilizing a SiCN film in the machining field.

    DOI: 10.11522/pscjspe.2012A.0.313.0

    CiNii Article

    Other Link: https://ci.nii.ac.jp/naid/130004660665

  • Surface Cleaning for Metals using Atomic Hydrogen Generated by Heated Catalyzer Invited Reviewed

    IZUMI Akira

    Hyomen Kagaku ( The Surface Science Society of Japan )   31 ( 4 )   196 - 201   2010.04

     More details

    Authorship:Lead author   Language:Japanese   Publishing type:Research paper (scientific journal)

    There are a lot of demands for the semiconductor manufacturing process of large-scale integrated circuit(LSI) and LSI package. Especially, low temperature processes for surface cleaning are strongly required. In this paper, we introduce a novel cleaning technology of various metals using atomic hydrogen generated by a heated catalyzer, hot wire (HW) method. In the case of solder bump cleaning in semiconductor packaging, the oxide layer on the Sn surface was completed reduced, and it was confirmed the effectiveness in improving the flip-chip bonding by the atomic hydrogen treatment. In the case of Cu nano ink-jet wiring, the resistivity was drastically decreased by the atomic hydrogen treatment.

    DOI: 10.1380/jsssj.31.196

    CiNii Article

    Other Link: https://ci.nii.ac.jp/naid/10026319794

  • Improvement in flip-chip bonding by reduction of oxides using hydrogen radicals Reviewed

    Nakashima T., Miyamoto K., Sato M., Nogita K., Izumi A.

    Proceedings - 2009 International Symposium on Microelectronics, IMAPS 2009   1028 - 1031   2009.12

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)

    The demand for high-density mounting increased because of the miniaturizing of a variety of electronic equipment such as personal computers and cellular phones. In response, the use of packaging methods such as flip-chip bonding has also increased to meet this demand. However, during the soldering process, the presence of oxides on the solder bump surface can obstruct the interconnections because oxides with a high melting point do not melt during the process. Methods for removing oxides from the solder bump surface have been developed. In this paper, we propose a novel oxide reduction method for the solder bump surface. This method uses hydrogen radicals generated by a heated catalyzer consisting of a tungsten wire. We have successfully demonstrated that the removal of oxides from the solder bump surface is possible by this treatment. Furthermore, we have verified that this method is effective in improving the reliability of flip-chip bonding without flux.

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=84876923772&origin=inward

  • Crystallization of D <inf>2</inf> O thin films on Ru(0 0 1) surfaces Reviewed

    Yamauchi T., Mine K., Nakashima Y., Izumi A., Namiki A.

    Applied Surface Science   256 ( 4 )   1124 - 1127   2009.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)

    DOI: 10.1016/j.apsusc.2009.05.098

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=70749112671&origin=inward

  • Novel materials for electronic device fabrication using ink-jet printing technology Reviewed

    Kumashiro Y., Nakako H., Inada M., Yamamoto K., Izumi A., Ishihara M.

    Applied Surface Science   256 ( 4 )   1019 - 1022   2009.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)

    Novel materials and a metallization technique for the printed electronics were studied. Insulator inks and conductive inks were investigated. For the conductive ink, the nano-sized copper particles were used as metallic sources. These particles were prepared from a copper complex by a laser irradiation process in the liquid phase. Nano-sized copper particles were consisted of a thin copper oxide layer and a metal copper core wrapped by the layer. The conductive ink showed good ink-jettability. In order to metallize the printed trace of the conductive ink on a substrate, the atomic hydrogen treatment was carried out. Atomic hydrogen was generated on a heated tungsten wire and carried on the substrate. The temperature of the substrate was up to 60 °C during the treatment. After the treatment, the conductivity of a copper trace was 3 μΩ cm. It was considered that printed wiring boards can be easily fabricated by employing the above materials. © 2009 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.apsusc.2009.05.134

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=70749122824&origin=inward

  • A novel copper interconnection cleaning by atomic hydrogen using diluted hydrogen gas Reviewed

    K. Abe,A. Izumi

    Solid state Phenomena   145-146   389 - 392   2009.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Novel materials for electronic devaice fabrication using ink-jet printing technology Reviewed

    Y. Kumashiro,H. Nakako,M. Inada,K. Yamamoto,A. Izumi

    Applied Surface Science   256   1019 - 1022   2009.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Estimation of hydrogen radical density generated from various kinds of catalysts Reviewed

    K. Abe,M. Ida,A. Izumi,S. Terashima,T. Sudo,Y. Watanabe,Y. Fukuda

    Thin Solid Films   517   3449 - 3451   2009.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Improvement of Flip-chip Bonding by reduction of oxide using hydrogen radical Reviewed

    Nakashima Tsuyoshi, Miyamoto Koji, Sato Mitchihiro, Nogita Kanta, Izumi Akira

    Proceedings of JIEP Annual Meeting ( The Japan Institute of Electronics Packaging )   23 ( 0 )   54 - 55   2009.01

     More details

    Language:Japanese   Publishing type:Research paper (other academic)

    DOI: 10.11486/ejisso.23.0_54

    CiNii Article

    Other Link: https://ci.nii.ac.jp/naid/130005469499

  • Carbon contamination of EUV mask: Film characterization, impact on lithographic performance, and cleaning Reviewed

    Nishiyama Y., Anazawa T., Oizumi H., Nishiyama I., Suga O., Abe K., Kagata S., Izumi A.

    Proceedings of SPIE - The International Society for Optical Engineering   6921   2008.12

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)

    The deposition characteristics of carbon film on EUV mask surface, the impact of carbon deposition on lithography performance, and cleaning of deposited carbon film on EUV mask are studied. The density of the carbon film was found to be nearly half of that of graphite by X-ray reflectivity measurement. The impact of carbon deposition on the lithography performance was simulated by SOLID-EUV. The CD variation by carbon deposition on the mask depends on the deposition profile on the absorber pattern. Intentionally created contaminated masks were treated by a cleaning process using atomic hydrogen. The cleaning efficiency and durability of film materials are discussed.

    DOI: 10.1117/12.772412

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=79959328072&origin=inward

  • Evaluation of corrosion resistance of SiCN films deposited by HWCVD using organic liquid materials Reviewed

    T.Nakayamada,K.Matsuo,Y.Hayashi,A.Izumi,Y.Kadotani

    Thin Solid Films   516   656 - 658   2008.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Reduction of oxide layer on various metal surfaces by atomic hydrogen Reviewed

    A.Izumi,T.Ueno,Y.Miyazaki,H.Oizumi,I.Nishiyama

    Thin Solid Films   516   853 - 855   2008.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Cleaning technology for EUV multilayer mirror using atomic hydrogen generated with hot wire Reviewed

    K.Motai,H.Oizumi,S.Miyagaki,I.Nishiyama,A.Izumi,T.Ueno,A.Namiki

    Thin Solid Films   516   839 - 843   2008.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Reduction of oxide layer on various metal surfaces by atomic hydrogen treatment Reviewed

    Izumi A., Ueno T., Miyazaki Y., Oizumi H., Nishiyama I.

    Thin Solid Films   516 ( 5 )   853 - 855   2008.01

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)

    The reduction of various metallic oxides was examined. Atomic hydrogen generated on a heated tungsten catalyzer was used for reduction. It was found that Cu, Ru, Nb, Mo, Rh, Pd, Ir and Pt oxides can be reduced by irradiation with atomic hydrogen. The activation energy for oxide removal was examined and it was found that the values were very small, 10- 2 to 10- 4 eV. © 2007 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.tsf.2007.06.094

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=36749049743&origin=inward

  • Formation of highly transparent SiCN films prepared by HWCVD Reviewed

    Izumi A., Nakayamada T.

    CIMTEC 2008 - Proceedings of the 3rd International Conference on Smart Materials, Structures and Systems - Smart Materials and Micro/Nanosystems   54   223 - 226   2008.01

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (international conference proceedings)

    DOI: 10.4028/www.scientific.net/AST.54.223

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=62649114529&origin=inward

  • A novel surface cleaning for copper interconnection using ammonium decomposed species generated by hot wire Reviewed

    Izumi A., Ueno T.

    Solid State Phenomena   134   307 - 310   2008.01

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (international conference proceedings)

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=38549107598&origin=inward

  • Atomic hydrogen cleaning of Ru-capped EUV multilayer mirror Reviewed

    Motai K., Oizumi H., Miyagaki S., Nishiyama I., Izumi A., Ueno T., Miyazaki Y., Namiki A.

    Proceedings of SPIE - The International Society for Optical Engineering   6517 ( PART 1 )   2007.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)

    DOI: 10.1117/12.711998

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=35148814926&origin=inward

  • Atomic hydrogen cleaning of surface Ru oxide formed by extreme ultraviolet irradiation of Ru-capped multilayer mirrors in H2O ambience Reviewed

    Oizumi H., Izumi A., Motai K., Nishiyama I., Namiki A.

    Japanese Journal of Applied Physics, Part 2: Letters   46 ( 25-28 )   2007.07

     More details

    Language:English   Publishing type:Research paper (scientific journal)

    DOI: 10.1143/JJAP.46.L633

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=34547850458&origin=inward

  • Oxidation and reduction of thin Ru films by gas plasma Reviewed

    Y. Iwasaki,A. Izumi,H. Tsurumaki,A. Namiki,H. Oizumi,I. Nishiyama

    Applied Surface Science   253   8699 - 8704   2007.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • H<inf>2</inf> dilution effect in the Cat-CVD processes of the SiH <inf>4</inf>/NH<inf>3</inf> system Reviewed

    Ansari S., Umemoto H., Morimoto T., Yoneyama K., Izumi A., Masuda A., Matsumura H.

    Thin Solid Films   501 ( 1-2 )   31 - 34   2006.04

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)

    DOI: 10.1016/j.tsf.2005.07.098

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=32644478433&origin=inward

  • H2 dilution effect in the Cat-CVD processes of the SiH4/NH3 system Reviewed

    S.G. Ansari,Hironobu Umemoto,Takashi Morimoto,Koji Yoneyama,Akira Izumi,Atushi Masuda,Hideki Matsumura

    Thin Solid Films   510   31 - 34   2006.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Ultra thin silicon nitride prepared by direct nitridation using ammonia decomposed species Reviewed

    Thin Solid Films   501   157 - 159   2006.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Deposition of SiCN films using organic liquid materials by HWCVD method Reviewed

    Akira Izumi,Koshi Oda

    Thin Solid Films   510   195 - 197   2006.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Reduction of oxide layer on Ru surface by atomic-hydrogen treatment Reviewed

    I.. Nishiyama,H. Oizumi,K. Motai,A. Izumi,T. Ueno,H. AKiyama,A. Namiki

    J. Vac. Sci. Tecnol. B   23 ( 6 )   3129 - 3131   2005.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Reduction of oxide layer on Ru surface by atomic-hydrogen treatment Reviewed

    Nishiyama I., Oizumi H., Motai K., Izumi A., Ueno T., Akiyama H., Namiki A.

    Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures   23 ( 6 )   3129 - 3131   2005.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)

    The reduction of Ru oxide was examined as a way of cleaning the cap layer of multilayer mirrors in extreme ultraviolet lithography (EUVL). Ru deposited on a Si surface was oxidized using electron cyclotron resonance (ECR) O2 plasma, and then treated with atomic hydrogen generated with a hot tungsten wire. An analysis of the surface composition by x-ray photoelectron spectroscopy and Auger electron spectroscopy revealed that atomic hydrogen removed the Ru oxide resulting from the ECR O2 plasma treatment. Additionally, atomic force microscopy observations showed that this treatment caused no increase in the surface roughness of the Ru. This indicates that the surface oxidation of EUVL mirrors is reversible, and can largely be eliminated by using atomic hydrogen and the proper cap layer. © 2005 American Vacuum Society.

    DOI: 10.1116/1.2130356

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=29044433412&origin=inward

  • Contamination removal from EUV multilayer using atomic hydrogen generated by heated catalyzer Reviewed

    Oizumi H., Yamanashi H., Nishiyama I., Hashimoto K., Ohsono S., Masuda A., Izumi A., Matsumura H.

    Progress in Biomedical Optics and Imaging - Proceedings of SPIE   5751 ( II )   1147 - 1154   2005.09

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)

    A rapid, damage-free method of removing carbon contamination from EUV multilayer has been developed that employs atomic hydrogen generated by a heated catalyzer consisting of a W wire. Test samples were prepared by contaminating Mo/Si multilayers with carbon using EB or synchrotron radiation (SR). The insertion of a thermal shield between the catalyzer and a sample prevented radiant heat from the catalyzer from damaging the sample during cleaning. Ex situ XPS measurements and measurements of the thickness of the carbon layer with optical thickness measurement systems showed that the new treatment completely removes carbon from multilayers. The EUV reflectivity of multilayers was measured before and after cleaning to assess any resulting damage. It was found that cleaning changed the reflectivity and the centroid wavelength only marginally, within the measurement error.

    DOI: 10.1117/12.601136

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=24644459798&origin=inward

  • A novel Surface Cleaning for Copper Interconnection using Atomic Hydrogen Reviewed

    A. Izumi,T. Ueno,A. Tsukinari,A. Takada

    ECS Transactions   1 ( 3 )   327 - 332   2005.04

     More details

    Language:English   Publishing type:Research paper (other academic)

  • Improvement of Deposition Rate by Sandblasting of Tungsten Wire in Catalytic Chemical Vapor Deposition Reviewed

    Akira Heya,Toshikazu Niki,Masahiro Takano,Yasuo Yonezawa,Toshiharu Minamikawa,Susumu Muroi,Shigehira Minami,Tokuo Ikari,Akira Izumi,Atsushi Masuda,Hironobu Umemoto,Hideki Matsumura

    Jpn. J. Appl. Phys.   44 ( 4A )   1943 - 1944   2005.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Moisuture-Resistive Properties of SiNx Films Prepared by Catalytic Chemical Vapor Deposition below 100oC for Flexibele Organic Light-Emitting Diode Displays Reviewed

    Akira Heya,Toshikazu Niki,Masahiro Takano,Yasuo Yonezawa,Toshiharu Minamikawa,Susumu Muroi,Shigehira Minami,Tokuo Ikari,Akira Izumi,Atsushi Masuda,Hironobu Umemoto,Hideki Matsumura

    Jpn. J. Appl. Phys.   44 ( 4A )   1923 - 1927   2005.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Improvement of deposition rate by sandblasting of tungsten wire in catalytic chemical vapor deposition Reviewed

    Heya A., Niki T., Takano M., Doguchi Y., Yonezawa Y., Minamikawa T., Muroi S., Minami S., Izumi A., Masuda A., Umemoto H., Matsumura H.

    Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers   44 ( 4 A )   1943 - 1944   2005.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

    DOI: 10.1143/JJAP.44.1943

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=21244503898&origin=inward

  • Moisture-resistive properties of SiN<inf>x</inf> films prepared by catalytic chemical vapor deposition below 100° C for flexible organic light-emitting diode displays Reviewed

    Heya A., Niki T., Takano M., Yonezawa Y., Minamikawa T., Muroi S., Minami S., Ikari T., Izumi A., Masuda A., Umemoto H., Matsumura H.

    Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers   44 ( 4 A )   1923 - 1927   2005.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

    Silicon nitride (SiNx) films were deposited on Si and polycarbonate (PC) substrates at temperatures below 100°C by a catalytic chemical vapor deposition (Cat-CVD) method. By adding H2 to source gases, SiH4 and NH3, it was possible to prevent the deterioration of film qualities in low-temperature deposition /rocesses. H atoms produced from H2 are effective for increasing the film densities and improving passivation properties. The water vapor transmission rate of SiN x films on PC substrates deposited at 80°C was lower than 0.3g/m2day; the detection limit for a cup method. It is concluded that the Cat-CVD method with H2 dilution is a promising technique for preparing highly moisture-resistive SiNx films at low temperatures. © 2005 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.44.1923

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=21244504623&origin=inward

  • Effect of atomic hydrogen on preparation of highly moisture-resistive SiN<inf>x</inf> films at low substrate temperatures Reviewed

    Heya A., Niki T., Takano M., Yonezawa Y., Minamikawa T., Muroi S., Minami S., Izumi A., Masuda A., Umemoto H., Matsumura H.

    Japanese Journal of Applied Physics, Part 2: Letters   43 ( 12 A )   2004.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)

    DOI: 10.1143/JJAP.43.L1546

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=19944431580&origin=inward

  • Highly moisture-resistive SiN<inf>x</inf> films prepared by catalytic chemical vapor deposition Reviewed

    Heya A., Niki T., Yonezawa Y., Minamikawa T., Muroi S., Izumi A., Masuda A., Umemoto H., Matsumura H.

    Japanese Journal of Applied Physics, Part 2: Letters   43 ( 10 B )   2004.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)

    DOI: 10.1143/JJAP.43.L1362

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=10844288087&origin=inward

  • 有機液体原料を用いたHWCVD法によるSiCNエッチストッパーの作製 Reviewed

    小田 晃士, 和泉 亮

    電子情報通信学会技術研究報告. ED, 電子デバイス ( 一般社団法人電子情報通信学会 )   104 ( 152 )   27 - 30   2004.06

     More details

    Language:Japanese   Publishing type:Research paper (conference, symposium, etc.)

    原料ガスに非爆発原料で有機液体原料であるヘキサメチルジシラザン(HMDS)を用いたホットワイヤーCVD法でSiCN膜を堆積した。HMDSのみでも堆積は可能であり、NH_3の添加とその流量によってSiCN膜の化学組成が制御できることが明らかとなった。

    CiNii Article

    Other Link: https://ci.nii.ac.jp/naid/110003175579

  • Effect of Atomic Hydrogen on Preparation of Highly Moisuture-Resistive SiNx Films at Low Substrate Temperatures Reviewed

    Akira Heya,Toshikazu Niki,Masahiro Takano,Yasuto Yonezawa,Toshiharu Minamikawa,Susumu Muroi,Shigehira Minami,Akira Izumi,Atsushi Masuda,Hideki Matsumura

    Jpn. J. Appl. Phys.   43 ( 12A )   L1546 - L1548   2004.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Highly Moisuture-Resistive SiNx Films Prepared by Catalytic Chemical Vapor Deposition Reviewed

    Akira Heya,Toshikazu Niki,Yasuto Yonezawa,Toshiharu Minamikawa,Susumu Muroi,Akira Izumi,Atsushi Masuda,Hironobu Umemoto,Hideki Matsumura

    Jpn. J. Appl. Phys.   43 ( 10B )   L1362 - L1364   2004.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Structural and electrical characterization of ultra-thin SiO2 films prepared by catalytic oxidation method Reviewed

    Akira Izumi,Manabu Kudo,Hideki Matsumura

    Solid State Phenomena   76-77   157 - 160   2004.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Highly moisture-resistive SiN<inf>x</inf> films by catalytic chemical vapor deposition and their application to passivation and antireflection coating for crystalline Si solar cells Reviewed

    Matsumura H., Kikkawa A., Tsutsumi T., Masuda A., Izumi A., Takahashi M., Ohtsuka H., Moschner J.

    Proceedings of the 3rd World Conference on Photovoltaic Energy Conversion   B   1147 - 1150   2003.12

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)

    SiNx films prepared by catalytic chemical vapor deposition (Cat-CVD) are applied to passivation and antireflection coating for crystalline Si solar cells. SiNx passivation by Cat-CVD with or without nitridation before SiNx deposition was examined. Both quite high carrier lifetime of 600 μs and low surface recombination velocity of 20-30 cm/s were obtained and these values were comparable to those obtained using remote plasma-enhanced chemical vapor deposition. Successive in-situ exposure of NH3-decomposed species after SiNx deposition also reduces the surface recombination velocity.

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=6344249000&origin=inward

  • Properties of silicon nitride films prepared by combination of catalytic-nitridation and catalytic-CVD Reviewed

    Izumi A., Kikkawa A., Higashimine K., Matsumura H.

    Materials Research Society Symposium - Proceedings   762   163 - 168   2003.12

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (international conference proceedings)

    This paper reports about the interface of silicon nitride (SiNx) formed on Si(100) prepared by combination of catalytic-nitridation and catalytic-vapor deposition method in a catalytic chemical vapor deposition system. It is found that flat interface of SiNx/Si(100) is formed by inserting nitridalion layer before growing the SiNxfilms.

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=1642541254&origin=inward

  • Coverage properties of silicon nitride film prepared by the Cat-CVD method Reviewed

    Osono S., Uchiyama Y., Kitazoe M., Saito K., Hayama M., Masuda A., Izumi A., Matsumura H.

    Thin Solid Films   430 ( 1-2 )   165 - 169   2003.04

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)

    The coverage properties of silicon nitride (Si3N4) films prepared by the catalytic chemical vapor deposition (Cat-CVD) technique were systematically studied. By increasing the catalyzer-substrate distance, the coverage was improved from 46 to 67% on a 1.0-μm line and space pattern. The etching rate of Cat-CVD Si3N4 film measured using 16BHF solution was independent of the deposited position of the micro-patterns deposited, and was approximately 3 nm/min, one order of magnitude lower than that of plasma-enhanced CVD (PE-CVD) Si3N4 film. This means that Cat-CVD Si3N4 films are denser than PE-CVD Si3N4 films, and that the quality at the side wall is equivalent to that on the top surface. That is, Cat-CVD Si3N4 films show a passivation effect, which was excellent, even at the side wall of micro-patterns. These results suggest that Si3N4 films prepared by Cat-CVD are suitable for the passivation films in microelectronic devices having a step configuration, such as TFT-LCDs and ULSIs. © 2003 Elsevier Science B.V. All rights reserved.

    DOI: 10.1016/S0040-6090(03)00100-7

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0038147201&origin=inward

  • Formation of low-resistivity poly-Si and SiN<inf>x</inf> films by Cat-CVD for ULSI application Reviewed

    Morimoto R., Yokomori C., Kikkawa A., Izumi A., Matsumura H.

    Thin Solid Films   430 ( 1-2 )   230 - 235   2003.04

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)

    DOI: 10.1016/S0040-6090(03)00129-9

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0038147188&origin=inward

  • Electrical properties of silicon nitride films deposited by catalytic chemical vapor deposition on catalytically nitrided Si(100) Reviewed

    Akiko Kikkawa,Rui Morimoto,Akira Izumi,Hideki Matsumura

    Thin Solid Films 430   430   100 - 103   2003.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Application of decomposed species generated by a heated catalyzer to ULSI fabrication processes Reviewed

    Akira Izumi,Tsubasa Miki,Hideki Matsumura

    Thin Solid Films   430   265 - 269   2003.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Recent progress of Cat-CVD research in Japan - bridging between the first and second Cat-CVD conferences Reviewed

    Hideki Matsumura,Hironobu Umemoto,Akira Izumi,Atsushi Masuda

    Thin Solid Films   430   7 - 14   2003.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Formation of low-resistivity poly-Si and SiNx films by Cat-CVD for ULSI application Reviewed

    Rui Morimoto,Chisato Yokomori,Akiko Kikkawa,Akira Izumi,Hideki Matsumura

    Thin Solid Films   430   230 - 235   2003.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Electrical properties of silicon nitride films deposited by catalytic chemical vapor deposition on catalytically nitrided Si(100) Reviewed

    Akiko Kikkawa,Rui Morimoto,Akira Izumi,Hideki Matsumura

    Thin Solid Films   430   100 - 103   2003.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Coverage properties of silicon nitride film prepared by the Cat-CVD method Reviewed

    S. Osono,Y. Uchiyama,M. Kitazoe,K. Saito,M. Hayama,A. Masuda,A. Izumi,H. Matsumura

    430   165 - 169   2003.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Properties of Phosphorus-Doped Polycrystalline Silicon Films Formed by Catalytic Chemical Vapor Deposition and Successive Rapid Thermal Annealing Reviewed

    Morimoto R., Izumi A., Masuda A., Matsumura H.

    Rapid Thermal Processing for Future Semiconductor Devices   63 - 68   2003.04

     More details

    Language:English   Publishing type:Research paper (conference, symposium, etc.)

    DOI: 10.1016/B978-044451339-7/50009-X

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=84903663075&origin=inward

  • Catalytic Chemical Vapor Deposition: Recent Development and Future Prospects Reviewed

    Masuda A., Izumi A., Umemoto H., Matsumura H.

    Shinku/Journal of the Vacuum Society of Japan   46 ( 2 )   92 - 97   2003.01

     More details

    Language:English   Publishing type:Research paper (scientific journal)

    DOI: 10.3131/jvsj.46.92

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=85023811255&origin=inward

  • Novel photoresist removal using atomic hydrogen generated by heated catalyzer Reviewed

    Miki T., Izumi A., Matsumura H.

    Solid State Phenomena   92   231 - 234   2003.01

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)

    Removal of various kinds of photoresists, using atomic hydrogen generated by a heated tungsten catalyzer, is investigated. It is found that the photoresist removal is possible for any photoresists. In addition, it is found that the photoresist implanted with doses as high as 1 × 1016 cm-2 can be removed completely. Moreover, the photoresist inside fine line and space patterns can be also removed.

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0038715857&origin=inward

  • Recent progress in industrial applications of Cat-CVD (hot-wire CVD) Reviewed

    Masuda A., Izumi A., Umemoto H., Matsumura H.

    Materials Research Society Symposium - Proceedings   715   111 - 122   2002.12

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)

    Rapid progresses are achieved in catalytic CVD (Cat-CVD), often called hot-wire CVD, in the past 3-years NEDO national project in Japan. Cat-CVD technology presents many advantages in thin-film formation processes; high-efficiency of gas use, large-area deposition, no ion bombardment and low-temperature deposition even below 200°C. All of the elemental techniques for the industrially applicable Cat-CVD apparatuses, such as the suppression of the metal contamination, the precise control of the substrate temperature, the life extension of the catalyzer, 1-m size uniform deposition and the chamber cleaning, have been completely developed. Sophisticatedly designed substrate holder with electrostatic chuck and showerhead equipped with catalyzers are both key technologies for these achievements. High reproducibility for film properties is also obtained by controlling the reaction between high-density radicals and chamber walls. Prototype mass-production apparatus for SiNx passivation films in GaAs devices has been already developed and this will be probably the first application of Cat-CVD in industry. These recent movements appear to promise the drastic revolution in semiconductor and flat-panel display industries by introducing Cat-CVD in very near future.

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0036914351&origin=inward

  • Low temperature formation of silicon nitride film: Combination of Catalytic-Nitridation and Catalytic-CVD Reviewed

    Izumi A., Kikkawa A., Matsumura H.

    Materials Research Society Symposium - Proceedings   715   491 - 496   2002.12

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (international conference proceedings)

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0036920139&origin=inward

  • In situ chamber cleaning using atomic H in catalytic-CVD apparatus for mass production of a-Si:H solar cells Reviewed

    Masuda A., Ishibashi Y., Uchida K., Kamesaki K., Izumi A., Matsumura H.

    Solar Energy Materials and Solar Cells   74 ( 1-4 )   373 - 377   2002.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)

    DOI: 10.1016/S0927-0248(02)00130-7

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0036778628&origin=inward

  • What is the difference between catalytic CVD and plasma-enhanced CVD? Gas-phase kinetics and film properties Reviewed

    Masuda A., Izumi A., Umemoto H., Matsumura H.

    Vacuum   66 ( 3-4 )   293 - 297   2002.08

     More details

    Language:English   Publishing type:Research paper (scientific journal)

    Differences between catalytic chemical vapor deposition (Cat-CVD), often called hot-wire chemical vapor deposition, and plasma-enhanced chemical vapor deposition (PECVD) are discussed from the viewpoints of gas-phase kinetics and film properties. Remarkable superiority of Cat-CVD over PECVD is high deposition rate due to high efficiency of gas use. One metre size large-area deposition is also realized using a newly developed gas showerhead equipped with the catalyzer. © 2002 Elsevier Science Ltd. All rights reserved.

    DOI: 10.1016/S0042-207X(02)00133-1

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0037136081&origin=inward

  • Photoresist removal using atomic hydrogen generated by heated catalyzer Reviewed

    Izumi A., Matsumura H.

    Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers   41 ( 7 A )   4639 - 4641   2002.07

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)

    In this paper, a novel method for high-dose ion-implanted photoresist removal using atomic hydrogen generated by a heated tungsten catalyzer is proposed. It is found that photoresist doped as high as 1 × 1015 cm-2 can be removed completely. Quadruple mass spectroscopy detected hydrocarbon fragments during the atomic hydrogen treatment.

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0036655964&origin=inward

  • What is the differences between catalytic CVD and plasma-enhanced CVD? Gas-phase kinetics and film properties Reviewed

    Atsushi Masuda,Akira Izumi,Hironobu Umemoto,Hideki Matsumura

    Vacuum   66   293 - 297   2002.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Cat-CVD技術の開発状況と応用展開 Reviewed

    和泉亮,松村英樹

    電子材料   41   61 - 65   2002.04

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)

  • Photoresist removal using atomic hydrogen generated by heated catalyzer Reviewed

    Akira Izumi,Hideki Matsumura

    Jpn. J. Appl. Phys.   41   639 - 4641   2002.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Low-resistivity Phosphorus-doped polycrystalline silicon thin films formed by catalytic chemical vapor deposition and successive rapid thermal annealing Reviewed

    Rui Morimoto,Akira Izumi,Atsushi Masuda,Hideki Matsumura

    Jpn. J. Appl. Phys.   41   501 - 506   2002.04

     More details

    Language:English   Publishing type:Research paper (other academic)

  • Effects of atomic hydrogen in gas phase on a-Si:H and poly-Si growth by catalytic CVD Reviewed

    Umemoto H., Nozaki Y., Kitazoe M., Horii K., Ohara K., Morita D., Uchida K., Ishibashi Y., Komoda M., Kamesaki K., Izumi A., Masuda A., Matsumura H.

    Journal of Non-Crystalline Solids   299-302   9 - 13   2002.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

    DOI: 10.1016/S0022-3093(02)00928-6

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=4243919480&origin=inward

  • Low-resistivity phosphorus-doped polycrystalline silicon thin films formed by catalytic chemical vapor deposition and successive rapid thermal annealing Reviewed

    Morimoto R., Izumi A., Masuda A., Matsumura H.

    Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers   41 ( 2 A )   501 - 506   2002.02

     More details

    Language:English   Publishing type:Research paper (scientific journal)

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0036478336&origin=inward

  • Cat-CVD as a new fabrication technology of semiconductor devices Reviewed

    Matsumura H., Izumi A., Masuda A.

    Conference on Optoelectronic and Microelectronic Materials and Devices, Proceedings, COMMAD   2002-January   323 - 328   2002.01

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)

    DOI: 10.1109/COMMAD.2002.1237256

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=84952669046&origin=inward

  • Properties of large grain-size poly-Si films by catalytic chemical sputtering Reviewed

    Masuda A., Kamesaki K., Izumi A., Matsumura H.

    Materials Research Society Symposium - Proceedings   664   2001.12

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)

    Large grain-size polycrystalline Si (poly-Si) films are obtained on glass substrate by newly developed catalytic chemical sputtering method at low temperatures around 400 C. Si films are also epitaxially grown on (100) single-crystalline Si substrates. In the method Si films are deposited by the chemical transport of SiH4 species generated by the reaction between solid Si target and catalytically generated H atoms. Efficient deposition is realized using the remarkable difference in the etch rate depending on Si target temperatures. That is, SiH4 species are efficiently generated on cooled Si target by atomic-H etching and deposited on substrates with suppressed etching phenomena by heating. Full-width at half maximum of transverse-optical Raman signals originating from crystalline phase for the obtained poly-Si films is narrower than that for poly-Si prepared by excimer-laser annealing. It was noticeable that the grain size exceeds 1 μm for the films with a thickness of about 1 μm. Growth mode of poly-Si films especially in the initial stage is remarkably changed with a difference in the substrate material. It was found that formation of seed layer enhances the growth of poly-Si films on glass substrate.

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0035559135&origin=inward

  • Electrical and structural properties of catalytic-nitrided SiO<inf>2</inf> films Reviewed

    Izumi A., Sato H., Matsumura H.

    Materials Research Society Symposium - Proceedings   670   2001.12

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (international conference proceedings)

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0035557148&origin=inward

  • Cat-CVD法による薄膜形成とその応用展開 Reviewed

    和泉亮,松村英樹

    電子技術   43   37 - 41   2001.04

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)

  • Catalytic chemical sputtering: A novel method for obtaining large-grain polycrystalline silicon Reviewed

    Hideki Matsumura,Koji Kamesaki,Atsushi Masuda,Akira Izumi

    Jpn. Appl. Phys.   40   L289 - L291   2001.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Formation of high mosture and dopant diffusion resistivity silicon nitride films by catalytic-CVD method Reviewed

    A. Izumi,H. Sato,H. Matsumura

    J. Phys. IV France   11   Pr3 - 901   2001.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Low-k silicon nitride film for copper interconnects process prepared by catalytic chemical vapor deposition method at low temperature Reviewed

    H. Sato,A. Izumi,A. Masuda,H. Matsumura

    Thin Solid Films   395   280 - 283   2001.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Novel chamber cleaning method using atomic hydrogen generated by hot catalyzer Reviewed

    K. Uchida,A. Izumi,H. Matsumura

    Thin Solid Films   395   75 - 77   2001.04

     More details

    Language:English   Publishing type:Research paper (other academic)

  • Proposal of catalytic chemical sputtering method and its application to prepare large grain size poly Si Reviewed

    K. Kamesaki,A. Masuda,A. Izumi,H. Matsumura

    Thin Solid Films   395   169 - 172   2001.04

     More details

    Language:English   Publishing type:Research paper (other academic)

  • Surface modification of silicon related materials using a catalytic CVD system for ULSI applications Reviewed

    Thin Solid Films   395   260 - 265   2001.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Catalytic chemical sputtering: A novel method for obtaining large-grain polycrystalline silicon Reviewed

    Matsumura H., Kamesaki K., Masuda A., Izumi A.

    Japanese Journal of Applied Physics, Part 2: Letters   40 ( 3 B )   2001.03

     More details

    Language:English   Publishing type:Research paper (scientific journal)

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0035867366&origin=inward

  • Structural and electrical characterization of ultra-thin SiO<inf>2</inf> films prepared by catalytic oxidation method Reviewed

    Izumi A., Kudo M., Matsumura H.

    Solid State Phenomena   76-77   157 - 160   2001.01

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (international conference proceedings)

    © (2001) Trans Tech Publications, Switzerland. Ultra-thin silicon dioxide films can be formed at temperatures as low as 240“C by direct oxidation of Si using active oxygen species generated by catalytic cracking reactions between tungsten and oxygen related gases in a catalytic chemical vapor deposition (Cat-CVD) system. The structural and electrical properties of such films are investigated. It is found that the density of Si atoms in intermediate oxidation states and the density of films determined by etch rate in dilute HF solution are comparable to those of a conventional thermal oxidation at 900°C. The electrical properties and dielectric constant are also comparable to those of thermally oxidized films. The interface state density is as low as 2 × 1011 cm-2eV-1 after rapid thermal annealing at 850°C for 1min in Ar.

    DOI: 10.4028/www.scientific.net/SSP.76-77.157

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=84954457476&origin=inward

  • Low temperature nitridation of SiO2 films using a catalytic-CVD system Reviewed

    Izumi A., Sato H., Matsumura H.

    Materials Research Society Symposium - Proceedings   611   2001.01

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (international conference proceedings)

    This paper reports a procedure for low-temperature nitridation of silicon dioxide (SiO2) surfaces using species produced by catalytic decomposition of NH3 on heated tungsten in catalytic chemical vapor deposition (Cat-CVD) system. The surface of SiO2/Si(100) was nitrided at temperatures as low as 200°C. X-ray photoelectron spectroscopy measurements revealed that incorporated N atoms are bound to Si atoms and O atoms and located top-surface of SiO2.

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0035033551&origin=inward

  • Formation of high moisture and dopant diffusion resistivity silicon nitride films by catalytic-CVD method Reviewed

    Izumi A., Sato H., Matsumura H.

    Journal De Physique. IV : JP   11 ( 3 )   2001.01

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (international conference proceedings)

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0034849447&origin=inward

  • Preparation of high quality ultra-thin gate dielectrics by CAT-CVD and catalytic anneal Reviewed

    Sato H., Izumi A., Matsumura H.

    Materials Research Society Symposium - Proceedings   606   121 - 126   2000.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)

    This paper reports a feasibility of Cat-CVD system for improvement in characteristics of ultra thin gate dielectrics. Particularly, the effects of post deposition catalytic anneal (Cat-anneal) by using hydrogen (H2)-decomposed species or NH3-decomposed species produced by catalytic cracking of H2 or NH3, are investigated. The C-V characteristics are measured by MIS diode for the 4.5nm-thick Cat-CVD SiNx and 8nm-thick sputtered SiO2 for comparison. The small hysteresis loop is seen in the C-V curve of both SiNx and SiO2 films as deposition. However, it is improved by the Cat-anneal using H2 or NH3, and the hysteresis loop completely disappears from the C-V curves for both films. This result demonstrates that the Cat-anneal is a powerful technique to improve quality of insulating films, such as Cat-CVD SiNx and even sputtered SiO2 films. In addition, the leakage current of SiNx films with 2.8nm equivalent oxide thickness is decreased by several orders of magnitude than that of the conventional thermal SiO2 of similar EOT and the breakdown field is increased several MV/cm by Cat-anneal at 300°C.

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0033709863&origin=inward

  • Structural and electrical characterization of ultra-thin SiO<inf>2</inf> films prepared by catalytic oxidation method Reviewed

    Izumi A., Kudo M., Matsumura H.

    Diffusion and Defect Data Pt.B: Solid State Phenomena   76-77   157 - 160   2000.12

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)

    Ultra-thin silicon dioxide films can be formed at temperatures as low as 240°C by direct oxidation of Si using active oxygen species generated by catalytic cracking reactions between tungsten and oxygen related gases in a catalytic chemical vapor deposition (Cat-CVD) system. The structural and electrical properties of such films are investigated. It is found that the density of Si atoms in intermediate oxidation states and the density of films determined by etch rate in dilute HF solution are comparable to those of a conventional thermal oxidation at 900°C. The electrical properties and dielectric constant are also comparable to those of thermally oxidized films. The interface state density is as low as 2 × 1011 cm-2eV-1 after rapid thermal annealing at 850°C for 1min in Ar.

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0034499938&origin=inward

  • Low temperature direct-oxidation of Si using activated oxygen generated by tungsten catalytic reaction Reviewed

    Kudo M., Izumi A., Matsumura H.

    Materials Research Society Symposium - Proceedings   592   207 - 212   2000.12

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0034497508&origin=inward

  • Control of polycrystalline silicon structure by the two-step deposition method Reviewed

    Heya A., Izumi A., Masuda A., Matsumura H.

    Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers   39 ( 7 A )   3888 - 3895   2000.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)

    Polycrystalline silicon (poly-Si) films arc obtained at temperatures below 400°C by the catalytic chemical vapor deposition (Cat-CVD), often called "hot-wire CVD" method, using two-step deposition (TSD). In TSD, the substrate temperature is changed during deposition from the initial step for a short time of 200 s to the second step of 820 s. A comparative study on the structural properties of Cat-CVD poly-Si films prepared by the TSD method and those of the films prepared by conventional method was carried out using Raman spectroscopy, atomic force microscopy, reflection high-energy electron diffraction and transmission electron microscopy observation. It is found that the surface morphology, grain structure and thickness of the amorphous Si incubation layer are all correlated, and the structures arc changed by TSD method. © 2000 The Japan Society of Applied Physics.

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0034215441&origin=inward

  • Ultrathin silicon nitride gate dielectrics prepared by catalytic chemical vapor deposition at low temperatures Reviewed

    Sato H., Izumi A., Matsumura H.

    Applied Physics Letters   77 ( 17 )   2752 - 2754   2000.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)

    The feasibility of using ultrathin silicon nitride (SiNx) films, prepared by catalytic chemical vapor deposition (Cat-CVD) method, as an ultrathin gate dielectric is reported. The effects of postdeposition treatments carried out using hydrogen (H2)-decomposed species or NH3-decomposed species formed by catalytic cracking of H2and NH3are also studied. A small hysteresis loop is seen in the C-V curve of as-deposited Cat-CVD SiNxfilms. The leakage current in the case of these films with equivalent oxide thickness (EOT) of 3 nm is slightly larger than that in the conventional thermal SiO2films of similar EOT. However, it is found that the properties of Cat-CVD SiNxfilms are markedly improved by the postdeposition H2or NH3treatments, that is, the hysteresis loop disappears and the leakage current decreases by three orders of magnitude. © 2000 American Institute of Physics.

    DOI: 10.1063/1.1319513

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0001579066&origin=inward

  • Plasma and fluorocarbon-gas free Si dry etching process using a Cat-CVD system Reviewed

    Izumi A., Sato H., Hashioka S., Kudo M., Matsumura H.

    Microelectronic Engineering   51   495 - 503   2000.05

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)

    A heated tungsten filament was used to catalyze the gas phase etching of crystalline silicon with hydrogen at a substrate temperature of 200°C for obtaining plasma and contamination free etching. Etch rates, between 100 and 200 nm/min were obtained in a pure hydrogen ambient in the pressure range of 0.01-0.5 Torr. No etching effect was observed in the case of SiO2. No tungsten or other metal and carbon contaminations on the etched silicon surface were detected by X-ray photoelectron spectroscopy.

    DOI: 10.1016/S0167-9317(99)00504-3

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0033726819&origin=inward

  • Plasma and fluorocarbon-gas free Si dry ething process using a Cat-CVD system Reviewed

    Akira Izumi,Hidekazu Sato,Shingi Hashioka,Manabu Kudo,Hideki Matsumura

    Microelectronic Engineering   51-52   493 - 493   2000.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Ultrathin silicon nitride gate dielectrics prepared by catalytic chemical vapor deposition at low temperature Reviewed

    Hidekazu Sato,Akira Izumi,Hideki Matsumura

    Appl. Phys. Lett.   77   2752 - 2754   2000.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Control of polycrystalline silicon structure by the two-step deposition method Reviewed

    Jpn. J. Appl. Phys.   39   3888 - 3888   2000.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Cat-CVD process and its application to preparation of Si-based thin films Reviewed

    Matsumura H., Masuda A., Izumi A.

    Materials Research Society Symposium - Proceedings   557   67 - 78   1999.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)

    This is to review the present understanding on Cat-CVD (catalytic chemical vapor deposition) or hot wire CVD. Firstly, the deposition mechanism in Cat-CVD process is briefly mentioned along with key issues such as the effect of heat radiation and a method to avoid contamination from the catalyzer. Secondly, the properties of Cat-CVD Si-based thin films such as amorphous silicon (a-Si), polycrystalline silicon (poly-Si) and silicon nitride (SiNx) films are demonstrated, and finally, the feasibility of such films for industrial application is discussed.

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0033297297&origin=inward

  • Ultra-thin high quality silicon nitride gate dielectrics prepared by catalytic chemical vapor deposition at low temperatures Reviewed

    Sato H., Izumi A., Matsumura H.

    Materials Research Society Symposium - Proceedings   567   155 - 160   1999.12

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)

    This is to report the feasibility of ultra-thin silicon nitride (SiNx) films, prepared by catalytic chemical vapor deposition (Cat-CVD) method, as an ultra-thin gate insulator. In the Cat-CVD method, the deposition gases such as a gaseous mixture of silane (SiH4) and ammonia (NH3) are decomposed by catalytic cracking reactions with a heated tungsten catalyzer placed near substrates, and SiNx films are formed at substrate temperatures around 300°C without using plasma. In the paper, additionally the effect of post-deposited treatments by using NH3-decomposed species or hydrogen (H2)-decomposed species formed by catalytic cracking of NH3 and H2 are also studied. It is found that a small hysteresis loop is seen in the C-V curve of as-deposited Cat-CVD SiNx films and that the leakage currents with thickness of 3nm equivalent oxide thickness (EOT) is slightly larger than that in the conventional thermal SiO2 of similar EOT. However, it is also found that the properties of Cat-CVD SiNx films are drastically improved by the post-deposited H2 or NH3 treatments, that is, the hysteresis loop disappears and the leakage current decreases by three orders of magnitude.

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0033329261&origin=inward

  • Low-temperature oxidation of silicon surface using a gas mixture of H<inf>2</inf> and O<inf>2</inf> in a catalytic chemical vapor deposition system Reviewed

    Izumi A., Sohara S., Kudo M., Matsumura H.

    Electrochemical and Solid-State Letters   2 ( 8 )   388 - 389   1999.08

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)

    This paper reports a procedure for low-temperature oxidation of silicon surfaces using activated species produced by catalytic decomposition of a gas mixture of H2 and O2 on heated tungsten in a catalytic chemical vapor deposition system. The surface of Si(100) was oxidized at temperatures as low as 200 °C. Silicon oxide layers are obtained with a maximum thickness of 4.2 nm. X-ray photoelectron spectroscopy measurements revealed that the density of intermediate oxidation states is comparable with the thermally oxidized sample whose value is as low as 7×1014 cm-2.

    DOI: 10.1149/1.1390846

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0032652671&origin=inward

  • Low-temperature oxidation of silicon surface using a gas mixture of H<sub>2</sub> and O<sub>2</sub> in a catalytic chemical vapor deposition system Reviewed

    Electrochemical and Solid-State Lett.   2   388 - 388   1999.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Surface cleaning and nitridation of compound semiconductors using gas-decomposition reaction in Cat-CVD method Reviewed

    Thin Solid Films   343-344   528 - 528   1999.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Surface cleaning and nitridation of compound semiconductors using gas-decomposition reaction in Cat-CVD method Reviewed

    Thin Solid Films   343 ( 344 )   528 - 528   1999.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Low-Temperature Oxidation of Silicon Surface Using a Gas Mixture of H<sub>2</sub> and O<sub>2</sub> in a Catalytic Chemical Vapor Deposition System Reviewed

    Electrochemical and Solid-State Letters   2 ( 8 )   388 - 388   1999.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Low temperature formation of ultra-thin SiO<inf>2</inf>layers using direct oxidation method in a catalytic chemical vapor deposition system Reviewed

    Izumi A., Sohara S., Kudo M., Matsumura H.

    Materials Research Society Symposium - Proceedings   567   115 - 120   1999.01

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (international conference proceedings)

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0033317910&origin=inward

  • Guide for low-temperature and high-rate deposition of device quality poly-silicon films by Cat-CVD method Reviewed

    Heya A., Nakata K., Izumi A., Matsumura H.

    Materials Research Society Symposium - Proceedings   507   435 - 439   1999.01

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)

    Polycrystalline silicon (poly-Si) films are obtained at temperatures lower than 400 °C by catalytic chemical vapor deposition (Catalytic CVD = Cat-CVD) method, often called hot-wire CVD method. Structural properties of the Cat-CVD poly-Si films, deposited with various gas pressures, are studied by Raman scattering spectroscopy and X-ray diffraction technique. It is found that there are two recipes for obtaining device quality poly-Si films, that is, such poly-Si films are obtained at low gas pressure around 1 mTorr or less as already reported, and also at high gas pressure around 0.1 to 1 Torr. It is also found that, in addition to catalyzer temperature, the gas pressure is a key factors to obtain device quality poly-Si films at high deposition rates.

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0032673612&origin=inward

  • Properties of catalytic CVD SiN<inf>x</inf> for antireflection coatings Reviewed

    Izumi A., Matsumura H.

    Materials Research Society Symposium - Proceedings   555   161 - 166   1999.01

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)

    We propose a novel preparation of high quality silicon nitride (SiNx) films by catalytic chemical vapor deposition (Cat-CVD) method for the application of antireflection coatings. It is found that the refractive index (n) of the Cat-CVD SiNx films are controlled from 2.0 to 2.5 by varying the flow ratio of SiH4 and NH3. The properties of the SiNx (n = 2.0) are investigated, and it is found that, 1) the 16-BHF etching rate of the Cat-CVD SiNx film is only 23 A/min, and the film shows excellent moisture resistance, 2) the Cat-CVD SiNx film shows good insulating properties, and the breakdown electric field is higher than 9 MV/cm and the interface state density is 5.6 × 1011 cm-2eV-1, 3) the step coverage of the film is very conformal.

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0032591078&origin=inward

  • Low temperature formation of SiN<inf>x</inf> gate insulator for thin film transistor using CAT-CVD method Reviewed

    Izumi A., Ichise T., Matsumura H.

    Materials Research Society Symposium - Proceedings   508   151 - 156   1998.12

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (international conference proceedings)

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0032306788&origin=inward

  • Heteroepitaxial Growth of CdF<sub>2</sub> layers on CaF<sub>2</sub> / Si(111) by Molecular Beam Epitaxy Reviewed

    Japanese Journal of Applied Physics   37 ( 1 )   295 - 295   1998.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Heteroepitaxial growth of CdF<inf>2</inf>layers on CaF<inf>2</inf>/Si(III) by molecular beam epitaxy Reviewed

    Izumi A., Tsutsui K., Sokolov N.

    Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers   37 ( 1 )   295 - 296   1998.01

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)

    DOI: 10.1143/JJAP.37.295

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0031646997&origin=inward

  • Low-temperature nitridation of silicon surface using NH<inf>3</inf>-decomposed species in a catalytic chemical vapor deposition system Reviewed

    Izumi A., Matsumura H.

    Applied Physics Letters   71 ( 10 )   1371 - 1372   1997.09

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)

    This letter reports a procedure for low-temperature nitridation of silicon surfaces using species produced by NH3catalytic decomposition on heated tungsten in a catalytic chemical vapor deposition system. The surface of Si(100) was nitrided at temperatures as low as 200 °C. Silicon oxinitride films are obtained with a stoichiometry Si:N:O=1:0.9:0.3, a maximum thickness as high as 4.8 nm and an electrical breakdown field of 6 MV/cm. © 1997 American Institute of Physics.

    DOI: 10.1063/1.119897

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0001125632&origin=inward

  • Low-temperature nitridation of silicon surface using NH<sub>3</sub>-decomposed species in a Catalytic chemical vapor deposition system Reviewed

    Applied Physics Letters   71 ( 10 )   1371 - 1371   1997.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • CdF<sub>2</sub>/CaF<sub>2</sub> Resonant Tunneling Diode Fabricated on Si(111) Reviewed

    Japanese Journal of Applied Physics   36 ( 3B )   1849 - 1849   1997.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Cat-CVD法を用いた薄膜堆積と半導体表面改質 Reviewed

    和泉 亮

    表面技術   48 ( 11 )   1082 - 1082   1997.04

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)

  • 触媒CVD(Cat-CVD)法による低温薄膜形成 Reviewed

    和泉 亮

    表面   36 ( 3 )   149 - 149   1997.04

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)

  • CdF<inf>2</inf>/CaF<inf>2</inf> resonant tunneling diode fabricated on Si(111) Reviewed

    Izumi A., Matsubara N., Kushida Y., Tsutsui K., Sokolov N.

    Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers   36 ( 3 SUPPL. B )   1849 - 1852   1997.03

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0001430554&origin=inward

  • Formation of large conduction band discontinuities of heterointerfaces using CdF<inf>2</inf> and CaF<inf>2</inf> on Si(111) Reviewed

    Izumi A., Matsubara N., Kushida Y., Tsutsui K., Sokolov N.

    Materials Research Society Symposium - Proceedings   448   171 - 175   1997.01

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (international conference proceedings)

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0030719816&origin=inward

  • Low-temperature formation of device-quality polysilicon films by cat-CVD method Reviewed

    Matsumura H., Heya A., Iizuka R., Izumi A., He A., Otsuka N.

    Materials Research Society Symposium - Proceedings   452   983 - 988   1997.01

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)

    Polycrystalline silicon (poly-Si) films are deposited at temperatures lower than 300-400°C by the cat-CVD method. In the method, a SiH4 and H2 gas-mixture is decomposed by catalytic cracking reactions with a heated tungsten catalyzer placed near substrates. Carrier transport, optical and structural properties are investigated for this cat-CVD poly-Si. The films show both large carrier mobility and large optical absorption for particular deposition conditions. The cat-CVD poly-Si films are found to be one of the useful materials for thin film transistors and thin film solar cells.

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0030699044&origin=inward

  • Growth of CdF <inf>2</inf> /CaF <inf>2</inf> Si(111) heterostructure with abrupt interfaces by using thin CaF <inf>2</inf> buffer layer Reviewed

    Izumi A., Kawabata K., Tsutsui K., Sokolov N., Novikov S., Khilko A.

    Applied Surface Science   104-105   417 - 421   1996.09

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)

    DOI: 10.1016/S0169-4332(96)00180-8

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0030234018&origin=inward

  • Growth of CdF<sub>2</sub> / CaF<sub>2</sub> Si(III) heterostructure with abrupt interfaces by using thin CaF<sub>2</sub> buffer layer Reviewed

    Applied surface science   104   105417 - 105417   1996.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Study of band offsets in CdF<inf>2</inf>/CaF<inf>2</inf>/Si(111) heterostructures using x-ray photoelectron spectroscopy Reviewed

    Izumi A., Hirai Y., Tsutsui K., Sokolov N.

    Applied Physics Letters   67   1995.12

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)

    The valence band offsets at the heterointerfaces of the CdF2/CaF2/Si(111) structure grown by molecular beam epitaxy were evaluated using x-ray photoelectron spectroscopy, and the energy band diagram of this heterostructure was proposed. It was found that the interface of CdF2/CaF2has large conduction band offset: 2.9 eV, and the energy level of CdF2conduction band edge is below that of Si.© 1995 American Institute of Physics.

    DOI: 10.1063/1.114595

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=36449009524&origin=inward

  • MBE-growth and characterization of CdF<inf>2</inf> layers on Si(111) Reviewed

    Novikov S., Faleev N., Izumi A., Khilko A., Sokolov N., Solov'ev S., Tsutsui K.

    Microelectronic Engineering   28 ( 1-4 )   213 - 216   1995.06

     More details

    Language:English   Publishing type:Research paper (scientific journal)

    Epitaxial CdF2 layers and CdF2CaF2 superlattices have been grown on Si(111) substrates by means of molecular beam epitaxy. Double crystal X-ray diffractometry showed their high structural perfection. X-ray photoelectron spectroscopy revealed that CdF2 conduction band lies below that of Si by 0.8 eV. It was found from the cathodoluminescence measurements that Eu3+ ions in epitaxial layer occupy C4v sites. © 1995.

    DOI: 10.1016/0167-9317(95)00046-B

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0029321610&origin=inward

  • MBE-grown and Characterization of CdF<sub>2</sub> layers on Si(III) Reviewed

    Microelectronic Engineering   28   213 - 213   1995.04

     More details

    Language:English   Publishing type:Research paper (other academic)

  • High-quality CdF<sub>2</sub> layer growth on CaF<sub>2</sub> / Si(III) Reviewed

    Journal of Crystal Growth   150   1115 - 1115   1995.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Characterization of molecular beam epitaxy grown CdF<sub>2</sub> layers by x-ray diffraction and CaF<sub>2</sub> : Sm photoluminescence probe Reviewed

    Journal of vacuum Science and Technology A   13 ( 6 )   2703 - 2703   1995.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Study of band offsets in CdF<sub>2</sub>/CaF<sub>2</sub>/Si((]G0003[))heterostructures using X-ray photoelectron spectroscopy Reviewed

    Applied Physics Letters   67 ( 19 )   2792 - 2792   1995.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • High-quality CdF<sub>2</sub> layer growth on CaF<sub>2</sub>/Si((]G0003[)) Reviewed

    Journal of Crystal Growth   150   1115 - 1115   1995.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • High-quality CdF<inf>2</inf> layer growth on CaF<inf>2</inf>/Si(111) Reviewed

    Izumi A., Tsutsui K., Sokolov N., Faleev N., Gastev S., Novikov S., Yakovlev N.

    Journal of Crystal Growth   150   1115 - 1118   1995.01

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)

    Epitaxial CdF2 was grown on the coherent or relaxed CaF2/Si(111) by molecular beam epitaxy. The structures were characterized by reflection high-energy electron diffraction, Rutherford backscattering spectroscopy and double-crystal X-ray diffraction. It was shown that the crystallinity of the CdF2 layer grown on the CaF2/Si(111) structure, where the CaF2 was coherently grown on the Si, was quite good so as to obtain 51 arc sec of full width at half maximum of X-ray diffraction. © 1995, All rights reserved.

    DOI: 10.1016/0022-0248(95)80112-P

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0029309111&origin=inward

  • Surface modification of CaF<inf>2</inf> in atomic layer scale by electron beam exposure Reviewed

    Hwang S., Izumi A., Tsutsui K., Furukawa S.

    Applied Surface Science   82-83 ( C )   523 - 527   1994.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)

    Surface modification of CaF2/Si(111) was studied for the purpose of 1 ML adsorption of group-V atoms on a fluoride surface which is applicable to heteroepitaxy of III-V compound semiconductors on CaF2. By using Rutherford backscattering (RBS) and X-ray photoelectron spectroscopy (XPS), it was found that 1 ML of As and P were successfully adsorbed on a CaF2 surface, and that a 1 ML self-limiting adsorption of As for the electron beam exposure was realized. Also, we propose a model for the adsorption conditions depending on the substrate temperature during surface modification. © 1994.

    DOI: 10.1016/0169-4332(94)90268-2

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0028762068&origin=inward

  • Molecular beam epitaxy of CdF<inf>2</inf> layers on CaF<inf>2</inf>(111) and Si(111) Reviewed

    Sokolov N., Gastev S., Novikov S., Yakovlev N., Izumi A., Furukawa S.

    Applied Physics Letters   64 ( 22 )   2964 - 2966   1994.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)

    Cadmium fluoride single crystal layers have been grown on CaF 2/Si(111) or Si(111) substrates by molecular beam epitaxy. The structures are expected to have attractive electronic properties. The growth was monitored by reflections high energy electron diffraction (RHEED) techniques. A distinct (3×3) R30°superstructure has been observed on the CdF 2(111) surface at growth temperatures below 150°C. RHEED intensity oscillations indicate a two-dimensional growth mode of CdF 2.

    DOI: 10.1063/1.111395

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=0000359222&origin=inward

  • Surface modification of CaF<inf>2</inf> on Si(111) by low-energy electron beam for over growth of GaAs films Reviewed

    Izumi A., Tsutsui K., Furukawa S.

    Journal of Applied Physics   75 ( 5 )   2307 - 2311   1994.12

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)

    Surface modifications of epitaxial CaF2 on Si(111) by low-energy electron beam, in order to get modified surfaces with reduced damage on which high quality GaAs films could be grown, were studied. By using x-ray photoelectron spectroscopy measurements, it was found that it is possible to modify the surface of CaF2(111) even though the energy of the electron beam was as low as ∼10 eV. The generation of defects in bulk CaF2 and the diffusion of As were found at an energy of 305 eV, whereas no such evidence of defect, but rather, a stable adsorption of As on the surface of CaF2, was observed at an energy of 40 eV.

    DOI: 10.1063/1.356272

    Scopus

    Other Link: https://www.scopus.com/inward/record.uri?partnerID=HzOxMe3b&scp=36449000685&origin=inward

  • Molecular beam epitaxy of CdF<sub>2</sub> layers on CaF<sub>2</sub>(III) and Si(III) Reviewed

    Applied Physics Letters   64 ( 22 )   2964 - 2964   1994.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Surface modification of CaF<sub>2</sub> in atomic layer scale by electron beam exposure Reviewed

    Applied Surface Science   82   83523 - 83523   1994.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

  • Surface modification of CaF<sub>2</sub> on Si((]G0003[))by low energy electron beam for over growth of GaAs films Reviewed

    Journal of Applied Physics   75 ( 5 )   2307 - 2307   1994.04

     More details

    Language:English   Publishing type:Research paper (scientific journal)

▼display all

Conference Prsentations (Oral, Poster)

  • 有機液体原料を用いたHWCVD法によるSiCN薄膜の耐候性評価

    第3回Cat-CVD研究会講演要旨集 

     More details

    Event date: 2006.06.23 - 2006.06.24   Language:Japanese  

  • 有機液体原料を用いたHW-CVD法によるSiCN薄膜の堆積とその電気的特性評価

    第3回Cat-CVD研究会講演要旨集 

     More details

    Event date: 2006.06.23 - 2006.06.24   Language:Japanese  

  • 加熱触媒体により生成した原子状水素による金属の洗浄

    新規な薄膜・表面現象とその応用の最前線関する研究会((兼)第11回九州薄膜・表面研究会) 

     More details

    Event date: 2006.06.17   Language:Japanese  

  • 有機液体原料を用いたホットワイヤーCVD法におけるシリコン炭窒化膜の堆積

    新規な薄膜・表面現象とその応用の最前線関する研究会((兼)第11回九州薄膜・表面研究会) 

     More details

    Event date: 2006.06.17   Language:Japanese  

  • 原子状水素によるRu酸化膜還元処理の基礎検討(II)

    第53回応用物理学会関係連合会講演予稿集 

     More details

    Event date: 2006.03.25   Language:Japanese  

  • 有機液体原料を用いたHWCVD法によるSiCN膜の耐食性評価

    第53回応用物理学会関係連合会講演予稿集 

     More details

    Event date: 2006.03.24   Language:Japanese  

  • 多結晶Ru膜の酸素プラズマによる酸化と水素プラズマによる酸化物除去

    第53回応用物理学会関係連合会講演予稿集 

     More details

    Event date: 2006.03.24   Language:Japanese  

  • 加熱触媒体により生成した原子状水素による金属酸化物除去特性

    第53回応用物理学会関係連合会講演予稿集 

     More details

    Event date: 2006.03.24   Language:Japanese  

  • 加熱触媒体により生成した活性種による金属酸化物の除去

    第66回応用物理学会学術講演会講演予稿集 

     More details

    Event date: 2005.09.08   Language:Japanese  

  • 有機液体原料を用いたHW-CVD法によるSiCN膜の電気的特性評価

    第66回応用物理学会学術講演予稿集 

     More details

    Event date: 2005.09.08   Language:Japanese  

  • 有機液体原料を用いたHW-CVD法によるSiCN薄膜の堆積とその特性評価

    第2回Cat-CVD研究会講演要旨集 

     More details

    Event date: 2005.06.23 - 2005.06.24   Language:Japanese  

  • 加熱触媒体により生成した活性種による銅配線の表面洗浄

    第2回Cat-CVD研究会講演要旨集 

     More details

    Event date: 2005.06.23 - 2005.06.24   Language:Japanese  

  • 原子状水素によるRu酸化膜還元処理の基礎検討(I)

    第52回応用物理学連合講演予稿集 

     More details

    Event date: 2005.03.30   Language:Japanese  

  • Contamination Removal for EUV multilayer Optics, Utilizing Atomic Hydrogen Generated by Heated Catalyzer

    3rd EUVL Symposium 

     More details

    Event date: 2004.11.01 - 2004.11.04   Language:Japanese  

  • 加熱触媒体により生成した活性種を用いた銅の表面洗浄

    第65回応用物理学会学術講演会講演予稿集 

     More details

    Event date: 2004.09   Language:Japanese  

  • EUVリソグラフィーにおける原子状水素を用いたCコンタミネーション除去の基礎検討(II)-熱輻射の回避

    第65回応用物理学会学術講演会講演予稿集 

     More details

    Event date: 2004.09   Language:Japanese  

  • 有機液体原料を用いたホットワイヤーCVD法によるSiN系薄膜の特性評価

    第65回応用物理学会学術講演会講演予稿集 

     More details

    Event date: 2004.09   Language:Japanese  

  • Ultra thin silicon nitride prepared by direct nitridation using ammonia decomposed species

    Extended Abstract of the 3rd International Conference on Hot-Wire (Cat-CVD) Process 

     More details

    Event date: 2004.08.23 - 2004.08.27   Language:Japanese  

  • H2 Dilution Effect in the Cat-CVD Processes of the SiH4/NH3 System

    Extended Abstract of the 3rd International Conference on Hot-Wire (Cat-CVD) Process 

     More details

    Event date: 2004.08.23 - 2004.08.27   Language:Japanese  

  • Deposition of SiCN films using organic liquid materials by HWCVD method

    Extended Abstract of the 3rd International Conference on Hot-Wire CVD (Cat-CVD) Process 

     More details

    Event date: 2004.08.23 - 2004.08.27   Language:Japanese  

  • 非爆発原料を用いたHWCVD法によるSiN系薄膜の堆積

    機能性薄膜の作製と新規表面・界面に関するワークショップ((兼)第9回九州薄膜・表面研究会) 

     More details

    Event date: 2004.06   Language:Japanese  

  • 有機液体原料を用いたHWCVD法によるSiN系薄膜の堆積

    本人

    第1回Cat-CVD研究会講演要旨集 

     More details

    Event date: 2004.05   Language:Japanese  

  • Formation of SiCN etch stopper films using organic liquid materials by Hot-wire CVD

    Tech. Dig. 2004 Asia-Pacific Workshop on Fundamentals and Application of Advanced Semiconductor Devices 

     More details

    Event date: 2004.04   Language:English  

  • 有機液体原料を用いたホットワイヤーCVD法によるSiCN膜の作製

    第51回応用物理学会関係連合会講演予稿集 

     More details

    Event date: 2004.03   Language:Japanese  

  • 接触分解反応により生成した分解種を用いた半導体材料の表面改質

    本人

    第19回九州・山口プラズマ研究会 

     More details

    Event date: 2003.11   Language:Japanese  

▼display all

Press

  • 九工大、炭窒化ケイ素薄膜を安全に作製できるHWCVD法を開発

    和泉亮

    日経BPニュース  2005.08.12

Grants-in-Aid for Scientific Research

  • 高密度ラジカル法による界面制御層を導入したパワーデバイス用絶縁膜の形成

    Grant number:25420290  2013.04 - 2016.03   基盤研究(C)

  • 高密度ラジカル処理法による基板表面の超親水化と高品質絶縁膜の形成

    Grant number:17560009  2005.04 - 2007.03   基盤研究(C)

  • 界面制御層を導入した高品質ゲート絶縁膜の低温形成

    Grant number:14750008  2002.04 - 2004.03   若手研究(B)