論文 - 温 暁青
-
A Highly Robust and Low-Power Flip-Flop Cell With Complete Double-Node-Upset Tolerance for Aerospace Applications 査読有り 国際誌
Yan A., He Y., Niu X., Cui J., Ni T., Huang Z., Girard P., Wen X.
IEEE Design and Test 40 ( 4 ) 34 - 41 2023年08月
-
Designs of BCD Adder Based on Excess-3 Code in Quantum-Dot Cellular Automata 査読有り 国際誌
Yan A., Liu R., Cui J., Ni T., Girard P., Wen X., Zhang J.
IEEE Transactions on Circuits and Systems II: Express Briefs 70 ( 6 ) 2256 - 2260 2023年06月
-
LDAVPM: A Latch Design and Algorithm-Based Verification Protected Against Multiple-Node-Upsets in Harsh Radiation Environments 査読有り 国際誌
Yan A., Li Z., Cui J., Huang Z., Ni T., Girard P., Wen X.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 42 ( 6 ) 2069 - 2073 2023年06月
-
Designs of Two Quadruple-Node-Upset Self-Recoverable Latches for Highly Robust Computing in Harsh Radiation Environments 査読有り 国際誌
Yan A., Li Z., Cui J., Huang Z., Ni T., Girard P., Wen X.
IEEE Transactions on Aerospace and Electronic Systems 59 ( 3 ) 2885 - 2897 2023年06月
-
Energy-Efficient Multiple Network-on-Chip Architecture With Bandwidth Expansion 査読有り 国際誌
Zhou W., Ouyang Y., Xu D., Huang Z., Liang H., Wen X.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 31 ( 4 ) 442 - 455 2023年04月
-
High Performance and DNU-Recovery Spintronic Retention Latch for Hybrid MTJ/CMOS Technology 査読有り 国際誌
Yan A., Zhou Z., Ding L., Cui J., Huang Z., Wen X., Girard P.
Proceedings -Design, Automation and Test in Europe, DATE 2023-April 2023年01月
-
Designs of Level-Sensitive T Flip-Flops and Polar Encoders Based on Two XOR/XNOR Gates 査読有り 国際誌
Yan A., Liu R., Huang Z., Girard P., Wen X.
Electronics (Switzerland) 11 ( 10 ) 2022年05月
-
Evaluation and Test of Production Defects in Hardened Latches 査読有り 国際誌
Ma R., Holst S., Wen X., Yan A., Xu H.
IEICE Transactions on Information and Systems ( 一般社団法人 電子情報通信学会 ) E105D ( 5 ) 996 - 1009 2022年01月
-
A Radiation-Hardened Non-Volatile Magnetic Latch with High Reliability and Persistent Storage 査読有り
Yan A., Dingl L., Zhou Z., Huang Z., Cui J., Girard P., Wen X.
Proceedings of the Asian Test Symposium 2022-November 1 - 6 2022年01月
-
MRCO: A Multi-ring Convergence Oscillator-based High-Efficiency True Random Number Generator 査読有り 国際誌
Ni T., Peng Q., Bian J., Yao L., Huang Z., Yan A., Wen X.
Proceedings of the 2022 Asian Hardware Oriented Security and Trust Symposium, AsianHOST 2022 2022年01月
-
GPU-Accelerated Timing Simulation of Systolic-Array-Based AI Accelerators 査読有り 国際誌
Holst S., Bumun L., Wen X.
Proceedings of the Asian Test Symposium 2021-November 127 - 132 2021年01月
-
MURLAV: A Multiple-Node-Upset Recovery Latch and Algorithm-Based Verification Method 査読有り 国際誌
Yan A., Li Z., Gao Z., Zhang J., Huang Z., Ni T., Cui J., Wang X., Girard P., Wen X.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 43 ( 7 ) 2205 - 2214 2024年07月
-
A new die-level flexible design-for-test architecture for 3D stacked ICs 査読有り 国際誌
Zhang Q., Zhan W., Wen X.
Integration 97 2024年07月
-
Efficient design approaches to CMOS full adder circuits 査読有り 国際誌
Yan A., Bao H., Jiang W., Cui J., Huang Z., Wen X.
Microelectronics Journal 149 2024年07月
-
IDLD: Interlocked Dual-Circle Latch Design with Low Cost and Triple-Node-Upset-Recovery for Aerospace Applications 査読有り 国際誌
Yan A., Dong C., Guo X., Song J., Cui J., Ni T., Girard P., Wen X.
Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI 19 - 24 2024年06月
-
A Low-Area Overhead and Low-Delay Triple-Node-Upset Self-Recoverable Design Based on Stacked Transistors 査読有り 国際誌
Xu H., Li J., Ma R., Liang H., Liu C., Wang S., Wen X.
IEEE Transactions on Device and Materials Reliability 24 ( 2 ) 302 - 312 2024年06月
-
FeMPIM: A FeFET-Based Multifunctional Processing-in-Memory Cell 査読有り 国際誌
Yan A., Chen Y., Gao Z., Ni T., Huang Z., Cui J., Girard P., Wen X.
IEEE Transactions on Circuits and Systems II: Express Briefs 71 ( 4 ) 2299 - 2303 2024年04月
-
SwinT-ILT: Swin Transformer embedding end-To-end mask optimization model 査読有り 国際誌
Xu H., Qi P., Tang F., Ma R., Liang H., Huang Z., Wen X.
Journal of Micro/Nanopatterning, Materials and Metrology 23 ( 1 ) 2024年01月
-
Nonvolatile Latch Designs With Node-Upset Tolerance and Recovery Using Magnetic Tunnel Junctions and CMOS 査読有り 国際誌
Yan A., Wang L., Cui J., Huang Z., Ni T., Girard P., Wen X.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 32 ( 1 ) 116 - 127 2024年01月
-
NEST: A Quadruple-Node Upset Recovery Latch Design and Algorithm-Based Recovery Optimization 査読有り 国際誌
Huang Z., Sun L., Wang X., Liang H., Lu Y., Yan A., Pan J., Wen X.
IEEE Transactions on Aerospace and Electronic Systems 60 ( 4 ) 4590 - 4600 2024年01月
-
Cost Efficient Flip-Flop Designs With Multiple-Node Upset-Tolerance and Algorithm-Based Verifications 査読有り 国際誌
Yan A., He Y., Huang Z., Yan W., Cui J., Wang X., Ni T., Girard P., Wen X.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 2024年01月
-
Nonvolatile and SEU-Recoverable Latch Based on FeFET and CMOS for Energy-Harvesting Devices 査読有り 国際誌
Yan A., Lin Z., Liu G., Zhang Q., Huang Z., Cui J., Wen X., Girard P.
Proceedings - IEEE International Symposium on Circuits and Systems 2024年01月
-
Introduction to the Special Issue on Design for Testability and Reliability of Security-aware Hardware 査読有り 国際誌
Ni T., Wen X., Amrouch H., Zhuo C., Song P.
ACM Transactions on Design Automation of Electronic Systems 29 ( 1 ) 2023年12月
-
Design of True Random Number Generator Based on Multi-Ring Convergence Oscillator Using Short Pulse Enhanced Randomness 査読有り 国際誌
Ni T., Peng Q., Bian J., Yao L., Huang Z., Yan A., Wang S., Wen X.
IEEE Transactions on Circuits and Systems I: Regular Papers 70 ( 12 ) 5074 - 5085 2023年12月
-
RMC-NoC: A Reliable On-Chip Network Architecture With Reconfigurable Multifunctional Channel 査読有り 国際誌
Xu D., Ouyang Y., Zhou W., Huang Z., Liang H., Wen X.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 31 ( 12 ) 2061 - 2074 2023年12月
-
An AI-Driven VM Threat Prediction Model for Multi-Risks Analysis-Based Cloud Cybersecurity 査読有り 国際誌
Saxena D., Gupta I., Gupta R., Singh A.K., Wen X.
IEEE Transactions on Systems, Man, and Cybernetics: Systems 53 ( 11 ) 6815 - 6827 2023年11月
-
Two Double-Node-Upset-Hardened Flip-Flop Designs for High-Performance Applications 査読有り 国際誌
Yan A., Cao A., Huang Z., Cui J., Ni T., Girard P., Wen X., Zhang J.
IEEE Transactions on Emerging Topics in Computing 11 ( 4 ) 1070 - 1081 2023年10月
-
GPU-Accelerated Estimation and Targeted Reduction of Peak IR-Drop during Scan Chain Shifting<sup>∗</sup> 査読有り 国際誌
Shi S., Holst S., Wen X.
IEICE Transactions on Information and Systems ( 一般社団法人 電子情報通信学会 ) E106.D ( 10 ) 1694 - 1704 2023年10月
-
An equivalent processing method for integrated circuit electrical parameter data using BP neural networks 査読有り 国際誌
Zhan W., Zhang L., Feng X., Pan P., Cai X., Wen X.
Microelectronics Journal 139 2023年09月
-
Two sextuple cross-coupled SRAM cells with double-node-upset protection and cost optimization for aerospace applications 査読有り 国際誌
Yan A., Xiang J., Chang Y., Huang Z., Cui J., Girard P., Wen X.
Microelectronics Journal 139 2023年09月
-
Designs of Array Multipliers with an Optimized Delay in Quantum-Dot Cellular Automata 査読有り 国際誌
Yan A., Li X., Liu R., Huang Z., Girard P., Wen X.
Electronics (Switzerland) 12 ( 14 ) 2023年07月
-
A Low Area and Low Delay Latch Design with Complete Double-Node-Upset-Recovery for Aerospace Applications 査読有り 国際誌
Yan A., Wei S., Zhang J., Cui J., Song J., Ni T., Girard P., Wen X.
Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI 167 - 171 2023年06月
-
Two Highly Reliable and High-Speed SRAM Cells for Safety-Critical Applications 査読有り 国際誌
Yan A., Chang Y., Xiang J., Luo H., Cui J., Huang Z., Ni T., Wen X.
Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI 293 - 298 2023年06月
-
Loop Subgraph-Level Greedy Mapping Algorithm for Grid Coarse-Grained Reconfigurable Array 査読有り 国際誌
Chen N., Cheng F., Han C., Jiang J., Wen X.
Tsinghua Science and Technology 28 ( 2 ) 330 - 343 2023年04月
-
A High-Performance and P-Type FeFET-Based Non-Volatile Latch 査読有り 国際誌
Yan A., Chen Y., Huang Z., Cui J., Wen X.
Proceedings of the Asian Test Symposium 2023年01月
-
SASL-JTAG: A Light-Weight Dependable JTAG 査読有り 国際誌
Wang S., Wei S., Ma J., Kai H., Higami Y., Takahashi H., Shimizu A., Wen X., Ni T.
Proceedings - IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2023年01月
-
Mitigating Test-Induced Yield-Loss by IR-Drop-Aware X-Filling 査読有り 国際誌
Shi S., Holst S., Wen X.
Proceedings - 2023 16th IEEE International Symposium on Embedded Multicore/Many-Core Systems-on-Chip, MCSoC 2023 501 - 507 2023年01月
-
Enhancing Defect Diagnosis and Localization in Wafer Map Testing Through Weakly Supervised Learning 査読有り 国際誌
Nie M., Jiang W., Yang W., Wang S., Wen X., Ni T.
Proceedings of the Asian Test Symposium 2023年01月
-
Design of Low-Cost Approximate CMOS Full Adders 査読有り 国際誌
Yan A., Wei S., Li Z., Cui J., Huang Z., Girard P., Wen X.
Proceedings - IEEE International Symposium on Circuits and Systems 2023-May 2023年01月
-
Design of a Novel Latch with Quadruple-Node-Upset Recovery for Harsh Radiation Hardness 査読有り 国際誌
Yan A., Zhou C., Wei S., Cui J., Huang Z., Girard P., Wen X.
Proceedings - 7th IEEE International Test Conference in Asia, ITC-Asia 2023 2023年01月
-
Design of A Highly Reliable and Low-Power SRAM With Double-Node Upset Recovery for Safety-critical Applications 査読有り 国際誌
Yan A., Xiang J., Huang Z., Ni T., Cui J., Girard P., Wen X.
Proceedings - 7th IEEE International Test Conference in Asia, ITC-Asia 2023 2023年01月
-
BiSTAHL: A Built-In Self-Testable Soft-Error-Hardened Scan-Cell 査読有り 国際誌
Holst S., Ma R., Wen X., Yan A., Xu H.
Proceedings of the European Test Workshop 2023-May 2023年01月
-
Advanced DICE Based Triple-Node-Upset Recovery Latch with Optimized Overhead for Space Applications 査読有り 国際誌
Yan A., Li X., Gao Z., Huang Z., Ni T., Wen X.
Proceedings of the Asian Test Symposium 2023年01月
-
A Robust and High-Performance Flip-Flop with Complete Soft-Error Recovery 査読有り 国際誌
Yan A., Li X., Ni T., Huang Z., Wen X.
Proceedings - 2023 10th International Conference on Dependable Systems and Their Applications, DSA 2023 474 - 476 2023年01月
-
A Low Overhead and Double-Node-Upset Self-Recoverable Latch 査読有り 国際誌
Yan A., Xia F., Ni T., Cui J., Huang Z., Girard P., Wen X.
Proceedings - 7th IEEE International Test Conference in Asia, ITC-Asia 2023 2023年01月
-
A Lightweight and Machine-Learning-Resistant PUF framework based on Nonlinear Structure and Obfuscating Challenges 査読有り 国際誌
Ni T., Li F., Peng Q., Wang S., Wen X.
Proceedings of the 2023 Asian Hardware Oriented Security and Trust Symposium, AsianHOST 2023 2023年01月
-
A ReRAM-Based Non-Volatile and Radiation-Hardened Latch Design 査読有り 国際誌
Yan A., Wei S., Chen Y., Fan Z., Huang Z., Cui J., Girard P., Wen X.
Micromachines 13 ( 11 ) 2022年11月
-
A double-node-upset completely tolerant CMOS latch design with extremely low cost for high-performance applications 査読有り 国際誌
Yan A., Qian K., Song T., Huang Z., Ni T., Chen Y., Wen X.
Integration 86 22 - 29 2022年09月
-
A Highly Robust, Low Delay and DNU-Recovery Latch Design for Nanoscale CMOS Technology 査読有り 国際誌
Yan A., Zhou Z., Wei S., Cui J., Zhou Y., Ni T., Girard P., Wen X.
Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI 255 - 260 2022年06月
-
Sextuple Cross-Coupled-DICE Based Double-Node-Upset Recoverable and Low-Delay Flip-Flop for Aerospace Applications 査読有り 国際誌
Yan A., Chen Y., Song S., Zhai Z., Cui J., Huang Z., Girard P., Wen X.
Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI 333 - 338 2022年06月
-
Two 0.8 V, Highly Reliable RHBD 10T and 12T SRAM Cells for Aerospace Applications 査読有り 国際誌
Yan A., He Z., Xiang J., Cui J., Zhou Y., Huang Z., Girard P., Wen X.
Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI 261 - 266 2022年06月
-
A Secure and Multiobjective Virtual Machine Placement Framework for Cloud Data Center 査読有り 国際誌
Saxena D., Gupta I., Kumar J., Singh A.K., Wen X.
IEEE Systems Journal 16 ( 2 ) 3163 - 3174 2022年06月
-
Quadruple and Sextuple Cross-Coupled SRAM Cell Designs With Optimized Overhead for Reliable Applications 査読有り 国際誌
Yan A., Xiang J., Cao A., He Z., Cui J., Ni T., Huang Z., Wen X., Girard P.
IEEE Transactions on Device and Materials Reliability 22 ( 2 ) 282 - 295 2022年06月
-
Cost-Effective and Highly Reliable Circuit-Components Design for Safety-Critical Applications 査読有り 国際誌
Yan A., Fan Z., Ding L., Cui J., Huang Z., Wang Q., Zheng H., Girard P., Wen X.
IEEE Transactions on Aerospace and Electronic Systems 58 ( 1 ) 517 - 529 2022年02月
-
Broadcast-TDMA: A Cost-Effective Fault Tolerance Method for TSV Lifetime Reliability Enhancement 査読有り 国際誌
Ni T., Bian J., Yang Z., Nie M., Yao L., Huang Z., Yan A., Wen X.
IEEE Design and Test 39 ( 5 ) 34 - 42 2022年01月
-
A Highly Reliable and Low Power RHBD Flip-Flop Cell for Aerospace Applications 査読有り 国際誌
Yan A., Qian K., Cui J., Cui N., Huang Z., Wen X., Girard P.
Proceedings of the IEEE VLSI Test Symposium 2022-April 2022年01月
-
Novel Quadruple-Node-Upset-Tolerant Latch Designs with Optimized Overhead for Reliable Computing in Harsh Radiation Environments 査読有り 国際誌
Yan A., Xu Z., Feng X., Cui J., Chen Z., Ni T., Huang Z., Girard P., Wen X.
IEEE Transactions on Emerging Topics in Computing 10 ( 1 ) 404 - 413 2022年01月
-
SCLCRL: Shuttling C-elements based Low-Cost and Robust Latch Design Protected against Triple Node Upsets in Harsh Radiation Environments 査読有り 国際誌
Yan A., Li Z., Huang S., Zhai Z., Cheng X., Cui J., Ni T., Wen X., Girard P.
Proceedings of the 2022 Design, Automation and Test in Europe Conference and Exhibition, DATE 2022 1257 - 1262 2022年01月
-
Cost-Optimized and Robust Latch Hardened against Quadruple Node Upsets for Nanoscale CMOS 査読有り 国際誌
Yan A., Song S., Zhang J., Cui J., Huang Z., Ni T., Wen X., Girard P.
Proceedings - 2022 IEEE International Test Conference in Asia, ITC-Asia 2022 73 - 78 2022年01月
-
A Low-Cost and Robust Latch Protected against Triple Node Upsets in Nanoscale CMOS based on Source-Drain Cross-Coupled Inverters 査読有り 国際誌
Yan A., Song S., Chen Y., Cui J., Huang Z., Wen X.
Proceedings of the IEEE Conference on Nanotechnology 2022-July 215 - 218 2022年01月
-
Effective Switching Probability Calculation to Locate Hotspots in Logic Circuits 査読有り 国際誌
Utsunomiya T., Hoshino R., Miyase K., Lu S.K., Wen X., Kajihara S.
Proceedings - 2022 IEEE International Test Conference in Asia, ITC-Asia 2022 43 - 48 2022年01月
-
Power-Aware Testing in the Era of IoT 査読有り 国際誌
Wen X.
Proceedings of 2022 IEEE 16th International Conference on Solid-State and Integrated Circuit Technology, ICSICT 2022 2022年01月
-
Power and Energy Safe Real-Time Multi-Core Task Scheduling 査読有り 国際誌
Baita K., Chakrabarti A., Chatterjee B., Holst S., Wen X.
Proceedings - 2022 35th International Conference on VLSI Design, VLSID 2022 - held concurrently with 2022 21st International Conference on Embedded Systems, ES 2022 16 - 21 2022年01月
-
A Cost-Effective TSV Repair Architecture for Clustered Faults in 3-D IC 査読有り 国際誌
Ni T., Xu Q., Huang Z., Liang H., Yan A., Wen X.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 40 ( 9 ) 1952 - 1956 2021年09月
-
Design of Radiation Hardened Latch and Flip-Flop with Cost-Effectiveness for Low-Orbit Aerospace Applications 査読有り 国際誌
Yan A., Cao A., Xu Z., Cui J., Ni T., Girard P., Wen X.
Journal of Electronic Testing: Theory and Applications (JETTA) 37 ( 4 ) 489 - 502 2021年08月
-
A 4NU-Recoverable and HIS-Insensitive Latch Design for Highly Robust Computing in Harsh Radiation Environments 査読有り
Yan A., Cao A., Fan Z., Xu Z., Ni T., Girard P., Wen X.
Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI 301 - 306 2021年06月
-
Dual-modular-redundancy and dual-level error-interception based triple-node-upset tolerant latch designs for safety-critical applications 査読有り
Yan A., He Z., Zhou J., Cui J., Ni T., Huang Z., Wen X., Girard P.
Microelectronics Journal 111 2021年05月
-
A novel TDMA-based fault tolerance technique for the TSVs in 3D-ICs using honeycomb topology 査読有り
Ni T., Yang Z., Chang H., Zhang X., Lu L., Yan A., Huang Z., Wen X.
IEEE Transactions on Emerging Topics in Computing 9 ( 2 ) 724 - 734 2021年04月
-
Reliability-Driven Neuromorphic Computing Systems Design 査読有り
Xu Q., Wang J., Geng H., Chen S., Wen X.
Proceedings -Design, Automation and Test in Europe, DATE 2021-February 1586 - 1591 2021年02月
-
TPDICE and SIM based 4-node-upset completely hardened latch design for highly robust computing in harsh radiation 査読有り
Yan A., Ding L., Shan C., Cai H., Chen X., Wei Z., Huang Z., Wen X.
Proceedings - IEEE International Symposium on Circuits and Systems 2021-May 2021年01月
-
On the efficacy of scan chain grouping for mitigating IR-drop-induced test data corruption 査読有り
Zhang Y., Holst S., Wen X., Miyase K., Kajihara S., Qian J.
IEICE Transactions on Information and Systems ( 一般社団法人 電子情報通信学会 ) E104D ( 6 ) 816 - 827 2021年01月
-
Novel Low Cost, Double-and-Triple-Node-Upset-Tolerant Latch Designs for Nano-scale CMOS 査読有り
Yan A., Lai C., Zhang Y., Cui J., Huang Z., Song J., Guo J., Wen X.
IEEE Transactions on Emerging Topics in Computing 9 ( 1 ) 520 - 533 2021年01月
-
Cellular Structure Based Fault-Tolerance TSV Configuration in 3D-IC 査読有り
Xu Q., Sun W., Chen S., Kang Y., Wen X.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 41 ( 5 ) 1196 - 1208 2021年01月
-
GoodFloorplan: Graph Convolutional Network and Reinforcement Learning Based Floorplanning 査読有り 国際誌
Xu Q., Geng H., Chen S., Yuan B., Zhuo C., Kang Y., Wen X.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 41 ( 10 ) 3492 - 3502 2021年01月
-
Fortune: A New Fault-Tolerance TSV Configuration in Router-based Redundancy Structure 査読有り 国際誌
Xu Q., Ni T., Geng H., Chen S., Yu B., Kang Y., Wen X.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 41 ( 10 ) 3182 - 3187 2021年01月
-
A Sextuple Cross-Coupled Dual-Interlocked-Storage-Cell based Multiple-Node-Upset Self-Recoverable Latch 査読有り 国際誌
Yan A., Qian K., Cui J., Cui N., Ni T., Huang Z., Wen X.
2021 IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH 2021 2021年01月
-
A Reliable and Low-Cost Flip-Flop Hardened against Double-Node-Upsets 査読有り 国際誌
Yan A., Cao A., Qian K., Ding L., He Z., Fan Z., Wen X.
Proceedings - 2021 8th International Conference on Dependable Systems and Their Applications, DSA 2021 734 - 736 2021年01月
-
LSI Testing: A Core Technology to a Successful LSI Industry 招待有り 査読有り 国際誌
Wen X.
Proceedings of International Conference on ASIC 2021年01月
-
Parallel DICE Cells and Dual-Level CEs based 3-Node-Upset Tolerant Latch Design for Highly Robust Computing 査読有り 国際誌
Yan A., Zhai Z., Wang L., Zhang J., Cui N., Ni T., Wen X.
Proceedings - 2021 IEEE International Test Conference in Asia, ITC-Asia 2021 2021年01月
-
Novel Speed-and-Power-Optimized SRAM Cell Designs with Enhanced Self-Recoverability from Single- And Double-Node Upsets 査読有り
Yan A., Chen Y., Hu Y., Zhou J., Ni T., Cui J., Girard P., Wen X.
IEEE Transactions on Circuits and Systems I: Regular Papers 67 ( 12 ) 4684 - 4695 2020年12月
-
A Sextuple Cross-Coupled SRAM Cell Protected against Double-Node Upsets 査読有り
Yan A., Chen Y., Zhou J., Cui J., Ni T., Wen X., Girard P.
Proceedings of the Asian Test Symposium 2020-November 2020年11月
-
Logic Fault Diagnosis of Hidden Delay Defects 査読有り
Holst S., Kampmann M., Sprenger A., Reimer J.D., Hellebrand S., Wunderlich H.J., Wen X.
Proceedings - International Test Conference 2020-November 2020年11月
-
Non-Intrusive Online Distributed Pulse Shrinking-Based Interconnect Testing in 2.5D IC 査読有り
Ni T., Chang H., Song T., Xu Q., Huang Z., Liang H., Yan A., Wen X.
IEEE Transactions on Circuits and Systems II: Express Briefs 67 ( 11 ) 2657 - 2661 2020年11月
-
Design of double-upset recoverable and transient-pulse filterable latches for low-power and low-orbit aerospace applications 査読有り
Yan A., Chen Y., Xu Z., Chen Z., Cui J., Huang Z., Girard P., Wen X.
IEEE Transactions on Aerospace and Electronic Systems 56 ( 5 ) 3931 - 3940 2020年10月
-
Design of a Highly Reliable SRAM Cell with Advanced Self-Recoverability from Soft Errors 査読有り
Dou Z., Yan A., Zhou J., Hu Y., Chen Y., Ni T., Cui J., Girard P., Wen X.
Proceedings - 2020 IEEE International Test Conference in Asia, ITC-Asia 2020 35 - 40 2020年09月
-
A Novel Low-Cost TMR-Without-Voter Based HIS-Insensitive and MNU-Tolerant Latch Design for Aerospace Applications 査読有り
Yan A., Xu Z., Yang K., Cui J., Huang Z., Girard P., Wen X.
IEEE Transactions on Aerospace and Electronic Systems 56 ( 4 ) 2666 - 2676 2020年08月
-
HITTSFL: Design of a cost-effective HIS-Insensitive TNU-Tolerant and SET-Filterable latch for safety-critical applications 査読有り
Yan A., Feng X., Zhao X., Zhou H., Cui J., Ying Z., Girard P., Wen X.
Proceedings - Design Automation Conference 2020-July 2020年07月
-
Information Assurance through Redundant Design: A Novel TNU Error-Resilient Latch for Harsh Radiation Environment 査読有り
Yan A., Hu Y., Cui J., Chen Z., Huang Z., Ni T., Girard P., Wen X.
IEEE Transactions on Computers 69 ( 6 ) 789 - 799 2020年06月
-
Design of a Triple-Node-Upset Self-Recoverable Latch for Aerospace Applications in Harsh Radiation Environments 査読有り
Yan A., Feng X., Hu Y., Lai C., Cui J., Chen Z., Miyase K., Wen X.
IEEE Transactions on Aerospace and Electronic Systems 56 ( 2 ) 1163 - 1171 2020年04月
-
Quadruple Cross-Coupled Dual-Interlocked-Storage-Cells-Based Multiple-Node-Upset-Tolerant Latch Designs 査読有り
Yan A., Ling Y., Cui J., Chen Z., Huang Z., Song J., Girard P., Wen X.
IEEE Transactions on Circuits and Systems I: Regular Papers 67 ( 3 ) 879 - 890 2020年03月
-
Dual-interlocked-storage-cell-based double-node-upset self-recoverable flip-flop design for safety-critical applications 査読有り
Yan A., Xu Z., Cui J., Ying Z., Huang Z., Liang H., Girard P., Wen X.
Proceedings - IEEE International Symposium on Circuits and Systems 2020-October 2020年01月
-
Design of a Sextuple Cross-Coupled SRAM Cell with Optimized Access Operations for Highly Reliable Terrestrial Applications 査読有り
Yan A., Wu Z., Zhou J., Hu Y., Chen Y., Ying Z., Wen X., Girard P.
Proceedings of the Asian Test Symposium 2019-December 55 - 60 2019年12月
-
Targeted partial-shift for mitigating shift switching activity hot-spots during scan test 査読有り
Holst S., Shi S., Wen X.
Proceedings of IEEE Pacific Rim International Symposium on Dependable Computing, PRDC 2019-December 124 - 129 2019年12月
-
Novel Radiation Hardened Latch Design with Cost-Effectiveness for Safety-Critical Terrestrial Applications 査読有り
Yan A., Wu Z., Lu L., Chen Z., Song J., Ying Z., Girard P., Wen X.
Proceedings of the Asian Test Symposium 2019-December 43 - 48 2019年12月
-
Variation-aware small delay fault diagnosis on compressed test responses 査読有り
Holst S., Schneider E., Kochte M.A., Wen X., Wunderlich H.J.
Proceedings - International Test Conference 2019-November 2019年11月
-
A fault-tolerant MPSoC for CubeSats 査読有り 国際誌
Fuchs C., Chou P., Wen X., Murillo N., Furano G., Holst S., Tavoularis A., Lu S., Plaat A., Marinis K.
2019 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2019 2019年10月
-
A static method for analyzing hotspot distribution on the LSI 査読有り
Miyase K., Kawano Y., Lu S., Wen X., Kajihara S.
Proceedings - 2019 IEEE International Test Conference in Asia, ITC-Asia 2019 73 - 78 2019年09月
-
A novel triple-node-upset-tolerant CMOS latch design using single-node-upset-resilient cells 査読有り 国際誌
Song Z., Yan A., Cui J., Chen Z., Li X., Wen X., Lai C., Huang Z., Liang H.
Proceedings - 2019 IEEE International Test Conference in Asia, ITC-Asia 2019 139 - 144 2019年09月
-
Power-Aware Testing for Low-Power VLSI Circuits 招待有り 査読有り 国際誌
X. Wen
15th IEEE Int'l Conf. on Electron Devices and Solid-State Cirucits Paper S12-1 2019年06月
-
Small Delay Fault Diagnosis with Compacted Responses 査読有り 国際誌
S. Holst, E. Schneider, M. A. Kochte, X. Wen, H.-J. Wunderlich
Poster at ACM Design Automation Conf. 2019年06月
-
Single-Event Double-Upset Self-Recoverable and Single-Event Transient Pulse Filterable Latch Design for Low Power Applications 査読有り 国際誌
Yan A., Hu Y., Song J., Wen X.
Proceedings of the 2019 Design, Automation and Test in Europe Conference and Exhibition, DATE 2019 1679 - 1684 2019年05月
-
STAHL: A novel scan-test-aware hardened latch design 査読有り 国際誌
Ma R., Holst S., Wen X., Yan A., Xu H.
Proceedings of the European Test Workshop 2019-May 2019年05月
-
Novel Double-Node-Upset-Tolerant Memory Cell Designs Through Radiation-Hardening-by-Design and Layout 査読有り 国際誌
Yan A., Wu Z., Guo J., Song J., Wen X.
IEEE Transactions on Reliability 68 ( 1 ) 354 - 363 2019年03月
-
LCHR-TSV: Novel Low Cost and Highly Repairable Honeycomb-Based TSV Redundancy Architecture for Clustered Faults 査読有り 国際誌
Ni T., Yao Y., Chang H., Lu L., Liang H., Yan A., Huang Z., Wen X.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 39 ( 10 ) 2938 - 2951 2019年01月
-
Novel Quadruple Cross-Coupled Memory Cell Designs with Protection against Single Event Upsets and Double-Node Upsets 査読有り
Yan A., Zhou J., Hu Y., Cui J., Huang Z., Girard P., Wen X.
IEEE Access 7 176188 - 176196 2019年01月
-
Clock-Skew-Aware Scan Chain Grouping for Mitigating Shift Timing Failures in Low-Power Scan Testing 査読有り 国際誌
Zhang Y., Wen X., Holst S., Miyase K., Kajihara S., Wunderlich H., Qian J.
Proceedings of the Asian Test Symposium 2018-October 149 - 154 2018年12月
-
Message from the Technical Program Co-Chairs 招待有り 査読有り 国際誌
Li H., Wen X., Huang Z.
Proceedings of the Asian Test Symposium 2018-October 2018年12月
-
Foreword 査読有り 国際誌
Li X., Li H., Cheng K.T.T., Wen X.
Proceedings - 2nd IEEE International Test Conference in Asia, ITC-Asia 2018 2018年09月
-
The impact of production defects on the soft-error tolerance of hardened latches 査読有り 国際誌
Holst S., Ma R., Wen X.
Proceedings of the European Test Workshop 2018-May 1 - 6 2018年06月
-
Bit-Flip Errors Detection using Random Partial Don't-Care Keys for a Soft-Error-Tolerant TCAM 査読有り 国際誌
I. Syafalni, T. Sasao, X. Wen
Proceedings of the 27th International Workshop on Logic and Synthesis 124 - 131 2018年06月
-
A Double-Node-Upset Self-Recoverable Latch Design for High Performance and Low Power Application 査読有り 国際誌
A. Yan, K. Yang, Z. Huang, J. Zhang, X. Fang, X. Wen
IEEE Transactions on Circuits and Systems II: Express Briefs 66 ( 2 ) 287 - 291 Early Access 2018年06月
-
A Method to Detect Bit Flips in a Soft-Error Resilient TCAM 査読有り 国際誌
I. Syafalni, T. Sasao, X. Wen
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 37 ( 6 ) 1185 - 1196 2018年06月
-
The Impact of Production Defects on the Soft-Error Tolerance of Hardened Latches 査読有り 国際誌
S. Holst, R. Ma, X. Wen
Proceedings of IEEE European Test Symposium Paper 7A-1 2018年05月
-
Design Automation for Legacy Circuits 査読有り 国際誌
I. Syafalni, K. Wakasugi, T. Yang, T. Sasao, X. Wen
Proceedings of the 21st Workshop on Synthesis and System Integration of Mixed Information Technologies 174 - 179 2018年03月
-
Locating Hot Spot with Justification Techniques in a Layout Design 査読有り 国際誌
K. Miyase, Y. Kawano, X. Wen, S. Kajihara
Proceedings of IEEE Workshop on RTL and High Level Testing Paper S1.2 2017年11月
-
Scan Chain Grouping for Mitigating IR-Drop-Induced Test Data Corruption 査読有り 国際誌
Y. Zhang, S. Holst, X. Wen, K. Miyase, S. Kajihara, J. Qian
Proceedings of the Asian Test Symposium 140 - 145 2017年11月
-
Analysis and Mitigation of IR-Drop Induced Scan Shift-Errors 査読有り 国際誌
S. Holst, E. Schneider, H. Kawagoe, M. A. Kochte, K. Miyase, H.-J. Wunderlichz, S. Kajihara, X. Wen
Proceedings - International Test Conference 2017-December 1 - 8 Paper 3.4 2017年10月
-
A Flexible Scan-in Power Control Method in Logic BIST and Its Evaluation with TEG Chips 査読有り 国際誌
T. Kato, S. Wang, Y. Sato, S. Kajihara, X. Wen
IEEE Transactions on Emerging Topics in Computing 8 ( 3 ) 591 - 601 Early Access 2017年10月
-
Vernier Ring Based Pre-bond Through Silicon Vias Test in 3D ICs 査読有り 国際誌
T. Ni, M. Nie, H. Liang, J. Bian, X. Xu, X. Fang, Z. Huang, X. Wen
IEICE Electronics Express 18 ( 14 ) Letter 20170590 2017年10月
-
GPU-Accelerated Simulation of Small Delay Faults 査読有り 国際誌
E. Schneider, M. Kochte, S. Holst, X. Wen, H. Wunderlich
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 36 ( 5 ) 829 - 841 2017年05月
-
On Optimal Power-Aware Path Sensitization 査読有り 国際誌
M. Sauer, J. Jiang, S. Reimer, K. Miyase, X. Wen, B. Becker, I. Polian
Workshop of Test and Reliability for Circuits and Systems 2017年03月
-
Low-Power Scan-Based Built-In Self-Test Based on Weighted Pseudo-Random Test Pattern Generation and Reseeding 査読有り 国際誌
D. Xiang., X. Wen, L.-T. Wang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 25 ( 3 ) 942 - 953 2017年03月
-
Vernier ring based pre-bond through silicon vias test in 3D ICs 査読有り 国際誌
Ni T., Nie M., Liang H., Bian J., Xu X., Fang X., Huang Z., Wen X.
IEICE Electronics Express ( 一般社団法人 電子情報通信学会 ) 14 ( 18 ) 20170590 - 20170590 2017年01月
-
Logic-Path-and-Clock-Path-Aware at-Speed Scan Test Generation 査読有り 国際誌
F. Li, X. Wen, K. Miyase, S. Holst, S. Kajihara
International Symposium on Applied Engineering and Sciences 2016年12月
-
Logic-path-and-clock-path-aware at-speed scan test generation 査読有り 国際誌
Li F., Wen X., Miyase K., Holst S., Kajihara S.
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences ( 一般社団法人 電子情報通信学会 ) E99A ( 12 ) 2310 - 2319 2016年12月
-
A Flexible Power Control Method for Right Power Testing of Scan-Based Logic BIST 査読有り 国際誌
T. Kato, S. Wang, Y. Sato, S. Kajihara, X. Wen
IEEE Asian Test Symposium 203 - 208 2016年11月
-
Timing-Accurate Estimation of IR-Drop Impact on Logic- and Clock-Paths during At-Speed Scan Test 査読有り 国際誌
S. Holst, E. Schneider, X. Wen, S. Kajihara, Y. Yamato, H. Wunderlich, M. Kochte
IEEE Asian Test Symposium 19 - 24 2016年11月
-
On Optimal Power-Aware Path Sensitization 査読有り 国際誌
M. Sauer, J. Jiang, S. Reimer, K. Miyase, X. Wen, B. Becker, I. Polian
IEEE Asian Test Symposium 179 - 184 2016年11月
-
Formal Test Point Insertion for Region-based Low-Capture-Power Compact At-Speed Scan Test 査読有り 国際誌
S. Eggersglub, S. Holst, D. Tille, K. Miyase, X. Wen
IEEE Asian Test Symposium 173 - 178 2016年11月
-
Reseeding-Oriented Test Power Reduction for Linear-Decompression-Based Test Compression Architectures 査読有り 国際誌
T. Chen, D. Shen, X. Yi, H. Liang, X. Wen
IEICE Transactions on Information and Systems ( 一般社団法人 電子情報通信学会 ) E99D ( 11 ) 2672 - 2681 2016年11月
-
Power-Aware Testing For Low-Power VLSI Circuits 招待有り 査読有り 国際誌
X. Wen
2016 13th IEEE International Conference on Solid-State and Integrated Circuit Technology, ICSICT 2016 - Proceedings 585 - 588 Paper S37-1 2016年10月
-
Multiple-Bit-Flip Detection Scheme for a Soft-Error Resilient TCAM 査読有り 国際誌
I. Syafalni, T. Sasao, X. Wen
Proceedings of IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2016-September 679 - 684 2016年07月
-
SAT-Based Post-Processing for Regional Capture Power Reduction in at-speed scan test generation 査読有り 国際誌
S. Eggersgluss, K. Miyase, X. Wen
IEEE European Test Symposium 2016-July 2016年05月
-
Thermal-Aware Small-Delay Defect Testing in Integrated Circuits for Mitigating Overkill 査読有り 国際誌
D. Xiang, K. Shen, B. B. Bhattacharya, X. Wen, X. Lin
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 35 ( 3 ) 499 - 512 2016年03月
-
Test Pattern Modification for Average IR-Drop Reduction 査読有り 国際誌
W.-S. Ding, H.-Y. Hsieh, C.-Y. Han, James C.-M. Li, X. Wen
IEEE Transactions on VLSI Systems 24 ( 1 ) 38 - 49 2016年01月
-
Logic/Clock-Path-Aware At-Speed Scan Test Generation for Avoiding False Capture Failures and Reducing Clock Stretch 査読有り 国際誌
K. Asada, X. Wen, S. Holst, K. Miyase, S. Kajihara, M. A. Kochte, E. Schneider, H.-J. Wunderlich, J. Qian
IEEE Asian Test Symposium 103 - 108 2015年11月
-
Power Supply Noise and Its Reduction in At-Speed Scan Testing 招待有り 査読有り 国際誌
X. Wen
IEEE International Conference on ASIC Paper B5-3 2015年11月
-
A Soft-Error Tolerant TCAM for Multiple-Bit Flips Using Partial Don't Care Keys 査読有り 国際誌
I. Syafalni, T. Sasao, X. Wen, S. Holst, K. Miyase
24th International Workshop on Logic and Synthesis 2015年06月
-
Identification of High Power Consuming Areas with Gate Type and Logic Level Information 査読有り 国際誌
K. Miyase, M. Sauer, B. Becker, X. Wen, S. Kajihara
IEEE European Test Symposium Paper 9.1 2015年05月
-
A Soft-Error Tolerant TCAM Using Partial Don’t-Care Keys 査読有り 国際誌
I. Syafalni, T. Sasao, X. Wen, S. Holst, K. Miyase
IEEE European Test Symposium Poster 2.4 2015年05月
-
GPU-Accelerated Small Delay Fault Simulation 査読有り 国際誌
E. Schneider, S. Holst, M.-A. Kochte, X. Wen, H.-J. Wunderlich
Design and Test in Europe 1174 - 1179 2015年03月
-
Towards Memory-Aware VLSI Simulation Algorithms for Heterogeneous Architectures 査読有り 国際誌
S. Holst, J. Miyazaki, X. Wen
International Symposium on Applied Engineering and Sciences 2014年12月
-
Soft-Error Tolerant TCAMs for High-Reliability Packet Classification 査読有り 国際誌
I. Syafalni, T. Sasao, X. Wen, S. Holst, K. Miyase
IEEE Asia Pacific Conference on Circuits and Systems 471 - 474 2014年11月
-
Data-Parallel Simulation for Fast and Accurate Timing Validation of CMOS Circuits 査読有り 国際誌
E. Schneider, S. Holst, X. Wen, H. Wunderlich
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD 17 - 23 2014年11月
-
On Achieving Capture Power Safety in At-Speed Scan-Based Logic BIST 査読有り 国際誌
A. Tomita, X. Wen, Y. Sato, S. Kajihara, P. Girard, M. Tehranipoor, L.-T. Wang
IEICE Transactions on Information and Systems E97 ( 10 ) 2706 - 2718 2014年10月
-
低キャプチャ電力スキャンテスト生成のためのX埋め込み手法
李 富強, 温 暁青, 宮瀬 紘平, ホルスト シュテファン, 梶原 誠司
電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 ( 一般社団法人電子情報通信学会 ) 114 ( 99 ) 15 - 20 2014年06月
-
Data-Parallel Switch-Level Simulation for Fast and Accurate Timing Validation of CMOS Circuits 査読有り 国際誌
E. Schneider, S. Holst, X. Wen, H.-J. Wunderlich
ACM Design Automation Conference Poster 2014年06月
-
Low-power testing for 2D/3D devices and systems 査読有り 国際誌
Lin X., Wen X., Xiang D.
Design of 3D Integrated Circuits and Systems 235 - 277 2014年01月
-
ATPG Enhancement Technology 査読有り 国際誌
N.A. Zakaria, M.Z Khalid, X. Wen
IEEE Workshop on RTL and High Level Testing Paper IV.5.S 2013年11月
-
On Achieving Capture Power Safety in At-Speed Scan-Based Logic BIST 査読有り 国際誌
A. Tomita, X. Wen, Y. Sato, S. Kajihara, P. Girard, M. Tehranipoor, L.-T. Wang
IEEE Asian Test Symposium 19 - 24 2013年11月
-
Search Space Reduction for Low-Power Test Generation 査読有り 国際誌
K. Miyase, M. Sauer, B. Becker, X. Wen, S. Kajihara
IEEE Asian Test Symposium 171 - 176 2013年11月
-
Test Pattern Modification for Average IR-drop Reduction 査読有り 国際誌
J. Li, W-S. Ding, H-Y. Hsieh, X. Wen
IEEE International Test Conference Poster 2013年09月
-
A Capture-Safety Checking Metric Based on Transition-Time-Relation for At-Speed Scan Testing 査読有り 国際誌
K. Miyase, R. Sakai, X. Wen, M. Aso, H. Furukawa, Y. Yamato, S. Kajihara
IEICE Transaction on Information and Systems E96-D ( 9 ) 2003 - 2011 2013年09月
-
SafeTIDE: A Technique for Transition Isolation Scan Cells Hardware Overhead Reduction 査読有り 国際誌
Y.-T. Lin, J.-L. Huang, X. Wen
VLSI Test Technology Workshop Paper 4.4 2013年07月
-
Controllability Analysis of Local Switching Activity for Layout Design 査読有り 国際誌
K. Miyase, M. Sauer, B. Becker, X. Wen, S. Kajihara
Workshop on Design and Test Methodologies for Emerging Technologies Paper 2 2013年05月
-
LCTI-SS: Low-Clock-Tree-Impact Scan Segmentation for Avoiding Shift Timing Failures in Scan Testing 査読有り 国際誌
Y. Yamato, X. Wen, M. A. Kochte, K. Miyase, S. Kajihara, L.-T. Wang
IEEE Design & Test of Computers 30 ( 4 ) 60 - 70 2013年04月
-
On Guaranteeing Capture Safety in At-Speed Scan Testing with Broadcast-Scan-Based Test Compression 査読有り 国際誌
K. Enokimoto, X. Wen, K. Miyase, J.-L. Huang, S. Kajihara, L.-T. Wang
26th International Conference on VLSI Design 279 - 284 2013年01月
-
Fault Detection with Optimum March Test Algorithm 査読有り 国際誌
N. Zakaria, W. Hassan, I. Halin, R. Sidek, X. Wen
Journal of Theoretical and Applied Information Technology 47 ( 1 ) 18 - 27 2013年01月
-
Estimation of the Amount of Don't-Care Bits in Test Vectors 査読有り 国際誌
K. Miyase, S. Kajihara, X. Wen
IEEE Workshop on RTL and High Level Testing 2012年11月
-
A Transition Isolation Scan Cell Design for Low Shift and Capture Power 査読有り 国際誌
Y.-T. Lin, J.-L Huang, X. Wen
IEEE Asian Test Symposium 107 - 112 2012年11月
-
On Pinpoint Capture Power Management in At-Speed Scan Test Generation 査読有り 国際誌
X. Wen, Y. Nishida, K. Miyase, S. Kajihara, P. Girard, M. Tehranipoor, L.-T. Wang
IEEE International Test Conference Paper 6.1 2012年11月
-
Launch-on-Shift Test Generation for Testing Scan Designs Containing Synchronous and Asynchronous Clock Domains 査読有り 国際誌
S. Wu, L.-T. Wang, X. Wen, Z. Jiang, W.-B. Jone, M. S. Hsiao, L. Tan, Y. Zhang, C.-M. Li, J.-L. Huang
ACM Transactions on Design Automation of Electronic Systems 17 ( 4 ) Article No. 48 2012年10月
-
A Transition Isolation Scan Cell Design for Low Shift and Capture Power 査読有り 国際誌
Y.-T. Lin, J.-L. Huang, X. Wen
VLSI Test Technology Workshop 2012年07月
-
Power-Aware Testing: The Next Stage 招待有り 査読有り 国際誌
X. Wen
IEEE European Test Symposium Invited Talk 2012年05月
-
A Novel Capture-Safety Checking Method for Multi-Clock Designs and Accuracy Evaluation with Delay Capture Circuits 査読有り 国際誌
K. Miyase, M. Aso, R. Ootsuka, X. Wen, H. Furukawa, Y. Yamato, K, Enokimoto, S. Kajihara
IEEE VLSI Test Symposium 197 - 202 2012年04月
-
Layout-Aware Pattern Evaluation and Analysis for Power-Safe Application of TDF Patterns 査読有り 国際誌
H. Salmani, W. Zhao, M. Tehranipoor, S. Chacravarty, P. Girard, X. Wen
ASP Journal of Lower Power Electronics 8 ( 2 ) 248 - 258 2012年04月
-
Fault Detection with Optimum March Test Algorithm 査読有り 国際誌
N.A. Zakaria, W.Z.W. Hasan, I.A. Halin, R.M. Sidek, X. Wen
IEEE International Conference on Intelligent Systems, Modeling and Simulation Paper S8 2012年02月
-
Testing static single cell faults using static and dynamic data background 査読有り 国際誌
N.A. Zakaria, W.Z.W. Hasan, I.A. Halin, R.M. Sidek, X. Wen
IEEE Student Conference on Research and Development 1 - 6 2011年12月
-
Additional Path Delay Fault Detection with Adaptive Test Data 査読有り 国際誌
K. Miyase, H. Tanaka, K. Enokimoto, X. Wen, S. Kajihara
IEEE Workshop on RTL and High Level Testing 31 - 34 2011年11月
-
Effective Launch Power Reduction for Launch-Off-Shift Scheme with Adjacent-Probability-Based X-Filling 査読有り 国際誌
K. Miyase, U. Uchinodan, K. Enokimoto, Y. Yamato, X. Wen, S. Kajihara, F. Wu, L. Dilillo, A. Bosio, P. Girard
IEEE Asian Test Symposium 90 - 95 2011年11月
-
Power-Aware Test Pattern Generation for At-Speed LOS Testing 査読有り 国際誌
A. Bosio, L. Dilillo, P. Girard, A. Todri, A. Virazel, K. Miyase, X. Wen
IEEE Asian Test Symposium 506 - 510 2011年11月
-
Efficient BDD-based Fault Simulation in Presence of Unknown Values 査読有り 国際誌
M. A. Kochte, S. Kundu, K. Miyase, X. Wen, H.-J. Wunderlich
IEEE Asian Test Symposium 383 - 388 2011年11月
-
Towards the Next Generation of Low-Power Test Technologies 査読有り 国際誌
X. Wen
IEEE International Conference on ASIC Paper 1E-1 2011年10月
-
Architectures for Testing 3D Chips Using Time-Division Demultiplexing/Multiplexing 査読有り 国際誌
L.-T. Wang, N. A. Touba, M. S. Hsiao, J.-L. Huang, C.-M. Li, S. Wu, X. Wen, M. Bhattarai, F. Li, Z. Jiang
IEEE International Workshop on Testing Three-Dimensional Stacked Integrated Circuits Paper 5.4 2011年09月
-
A Novel Scan Segmentation Design Method for Avoiding Shift Timing Failure in Scan Testing 査読有り 国際誌
Y. Yamato, X. Wen, M. A. Kochte, K. Miyase, S. Kajihara, L.-T. Wang
IEEE International Test Conference Paper 12.1 2011年09月
-
Clock-Gating-Aware Low Launch WSA Test Pattern Generation for At-Speed Scan Testing 査読有り 国際誌
Y.-T. Lin, J.-L. Huang, X. Wen
IEEE International Test Conference Paper 2.3 2011年09月
-
SAT-based Capture-Power Reduction for At-Speed Broadcast-Scan-Based Test Compression Architectures 査読有り 国際誌
M. A. Kochte, K. Miyase, X. Wen, S. Kajihara, Y. Yamato, K. Enokimoto, H.-J. Wunderlich
IEEE International Symposium on Low Power Electronics and Design 33 - 38 2011年08月
-
低消費電力LSIのための低消費電力テスト技術 招待有り 査読有り
温暁青
情報・システムソサイエティ誌 ( 電子情報通信学会 情報・システムソサイエティ ) 16 ( 2 ) 10 - 11 2011年08月
-
VLSI Testing and Test Power 査読有り 国際誌
X. Wen
Workshop on Low Power System on Chip Paper 4.1 2011年07月
-
Distribution-Controlled X-Identification for Effective Reduction of Launch-Induced IR-Drop in At-Speed Scan Testing 査読有り 国際誌
K. Miyase, K. Noda, H. Ito, K. Hatayama, T. Aikyo, Y. Yamato, H. Furukawa, X. Wen, S. Kajihara
IEICE Transactions on Information and Systems E94-D ( 6 ) 1216 - 1226 2011年06月
-
Layout-Aware Pattern Evaluation and Analysis for Power-Safe Application of TDF Patterns 査読有り 国際誌
H. Salmani, W. Zhao, M. Tehranipoor, S. Chacravarty, P. Girard, X. Wen
IEEE International Workshop on Impact of Low-Power design on Test and Reliability 4 Pages 2011年05月
-
Mapping Test Power to Functional Power Through Smart X-Filling for LOS Scheme 査読有り 国際誌
F. Wu, L. Dilillo, A. Bosio, P. Girard, M. Tehranipoor, K. Miyase, X. Wen, N. Ahmed
IEEE International Workshop on Impact of Low-Power design on Test and Reliability 4 Pages 2011年05月
-
Power-Aware Test Generation with Guaranteed Launch Safety for At-Speed Scan Testing 査読有り 国際誌
X. Wen, K. Enokimoto, K. Miyase, Y. Yamato, M. Kochte, S. Kajihara, P. Girard, M. Tehranipoor
IEEE VLSI Test Symposium 166 - 171 2011年05月
-
Power Reduction Through X-filling of Transition Fault Test Vectors for LOS Testing 査読有り 国際誌
F. Wu, L. Dilillo, A. Bosio, P. Girard, S. Pravossoudovitch, A. Virazel, M. Tehranipoor, K. Miyase, X. Wen, N. Ahmed
6th International Conference on Design & Technology of Integrated Systems in Nanoscale Era 1 - 6 2011年04月
-
A GA-Based X-Filling for Reducing Launch Switching Activity toward Specific Objectives in At-Speed Scan Testing 査読有り 国際誌
Y. Yamato, X. Wen, K. Miyase, H. Furukawa, S. Kajihara
IEICE Transactions on Information and Systems E94-D ( 4 ) 833 - 840 2011年04月
-
Transition-Time-Relation Based Capture-Safety Checking for At-Speed Scan Test Generation 査読有り 国際誌
K. Miyase, X. Wen, M. Aso, H. Furukawa, Y. Yamato, S. Kajihara
Design, Automation and Test in Europe 895 - 898 2011年03月
-
Using Launch-on-Capture for Testing Scan Designs Containing Synchronous and Asynchronous Clock Domains 査読有り 国際誌
S. Wu,L.-T. Wang,X. Wen,Z. Jiang,M. Hsiao,W.-B. Jone,L. Tan,Y. Zhang,Y. Hu,C.-M. Li,Member,J.-L. Huang,L. Yu
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 30 ( 3 ) 455 - 463 2011年03月
-
X-Identification of Transition Delay Fault Tests for Launch-off Shift Scheme 査読有り 国際誌
K. Miyase,F. Wu,L. Dilillo,A. Bosio,P. Girard,X. Wen,S. Kajihara
IEEE Workshop on RTL and High Level Testing 125 - 129 2010年12月
-
Hybrid Memory Built-In Self-Test Architecture for Multi-port Static RAMs 査読有り 国際誌
L. Yu, J. Hung, B. Sheu, B. Huynh, L. Nguyen, S. Wu, L.-T. Wang, X. Wen
IEEE Int Symposium on Defect and Fault Tolerance in VLSI Systems 331 - 339 2010年11月
-
Low-Capture-Power Post-Processing Test Vectors for Test Compression Using SAT Solver 査読有り 国際誌
K. Miyase,M. A. Kochte,X. Wen,S. Kajihara,H.-J. Wunderlich
IEEE Workshop on Defect and Date Driven Testing 4 Pages 2010年11月
-
Is Test Power Reduction Through X-Filling Good Enough? 査読有り 国際誌
F. Wu, L. Dilillo, A. Bosio, P. Girard, S. Pravossoudovitch, A. Virazel, M. Tehranipoor, K. Miyase, X. Wen, N. Ahmed
IEEE International Test Conference 805 - 805 Poster 2010年11月
-
On Test Pattern Compaction with Multi-Cycle and Multi-Observation Scan Test 査読有り 国際誌
S. Kajihara, M. Matsuzono, H. Yamaguchi, Y. Sato, K. Miyase, X. Wen
10th International Symposium on Communications and Information Technologies 723 - 726 2010年10月
-
Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains 査読有り 国際誌
S. Wu,L.-T. Wang,L. Yu,H. Furukawa,X. Wen,W.-B. Jone,N. A. Touba,F. Zhao,J. Liu,H.-J. Chao,F. Li,Z. Jiang
IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems 358 - 366 2010年10月
-
Case Studies on Transition Fault Test Generation for At-Speed Scan Testing 査読有り 国際誌
N. A. Zakariz, E. V. Bautista, S. M. Jusoh, W. F. Lee, X. Wen
IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems 180 - 188 2010年10月
-
A Comprehensive Analysis of Transition Fault Coverage and Test Power Dissipation for LOS and LOC Schemes 査読有り 国際誌
F. Wu,L. Dilillo,A. Bosio,P. Girard,S. Pravossoudovitch,A. Virazel,M. Tehranipoor,X. Wen,N. Ahmed
ASP Journal of Lower Power Electronics 6 ( 2 ) 359 - 374 2010年08月
-
On Delay Test Quality for Test Cubes 査読有り 国際誌
S. Oku, S. Kajihara, Y. Sato, K. Miyase, X. Wen
IPSJ Transactions on System LSI Design Methodology 3 283 - 291 2010年08月
-
A Study of Capture-Safe Test Generation Flow for At-Speed Testing 査読有り 国際誌
K. Miyase, X. Wen, S. Kajihara, Y. Yamato, A. Takashima, H. Furukawa, K. Noda, H. Ito, K. Hatayama, T. Aikyo, K. K. Saluja
IEICE Transactions on Information and Systems E93-A ( 7 ) 1309 - 1318 2010年07月
-
On Estimation of NBTI-Induced Delay Degradation 査読有り 国際誌
M. Noda, S. Kajihara, Y. Sato, K. Miyase, X. Wen, Y. Miura
IEEE European Test Symposium 107 - 111 2010年05月
-
Analysis of Power Consumption and Transition Fault Coverage for LOS and LOC Testing Schemes 査読有り 国際誌
F. Wu, L. Dilillo, A. Bosio, P. Girard, S. Pravossoudovitch, A. Virazel, M. Tehranipoor, J. Ma, W. Zhao, X. Wen
IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems 376 - 381 2010年04月
-
High Launch Switching Activity Reduction in At-Speed Scan Testing Using CTX: A Clock-Gating-Based Test Relaxation and X-Filling Scheme 査読有り 国際誌
K. Miyase, X. Wen, H. Furukawa, Y. Yamato, S. Kajihara, P. Girard, L. Wang, M. Tehranipoor
IEICE Transactions on Information and Systems E93-D ( 1 ) 2 - 9 2010年04月
-
CAT (Critical-Area-Targeted): A New Paradigm for Reducing Yield Loss Risk in At-Speed Scan Testing 招待有り 査読有り 国際誌
X. Wen, K. Enokimoto, K. Miyase, S. Kajihara, M. Aso, H. Furukawa
Symposium II (International Semiconductor Technology Conference & China Semiconductor Technology International Conference) 197 - 202 2010年03月
-
Using Launch-on-Capture for Testing BIST Designs Containing Synchronous and Asynchronous Clock Domains 査読有り 国際誌
L.-T. Wang,X. Wen,S. Wu,H. Furukawa,H.-J. Chao,B. Sheu,J. Guo,and W.-B. Jone
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 29 ( 2 ) 299 - 312 2010年02月
-
A Path Selection Method for Delay Test Targeting Transistor Aging 査読有り 国際誌
M. Noda,S. Kajihara,Y. Sato,K. Miyase,X. Wen,and Y. Miura
IEEE International Workshop on Reliability Aware System Design and Test 57 - 61 2010年01月
-
X-Identification According to Required Distribution for Industrial Circuits 査読有り 国際誌
I. Beppu,K. Miyase,Y. Yamato,X. Wen,and S. Kajihara
IEEE Workshop on RTL and High Level Testing 76 - 81 2009年11月
-
CAT: A Critical-Area-Targeted Test Set Modification Scheme for Reducing Launch Switching Activity in At-Speed Scan Testing 査読有り 国際誌
K. Enokimoto,X. Wen,Y. Yamato,K. Miyase,H. Sone,S. Kajihara,M. Aso,and H. Furukawa
IEEE Asian Test Symposium 99 - 104 2009年11月
-
A GA-Based Method for High-Quality X-Filling to Reduce Launch Switching Activity in At-speed Scan Testing 査読有り 国際誌
Y. Yamato,X. Wen,K. Miyase,H. Furukawa,and S. Kajihara
IEEE 15th Pacific Rim International Symposium on Dependable Computing 81 - 86 2009年11月
-
Optimizing the Percentage of X-Bits to Reduce Switching Activity 査読有り 国際誌
I. Beppu,K. Miyase,Y. Yamato,X. Wen,and S. Kajihara
IEEE Workshop on Defect and Date Driven Testing 4 Pages 2009年11月
-
A Novel Post-ATPG IR-Drop Reduction Scheme for At-Speed Scan Testing in Broadcast-Scan-Based Test Compression Environment 査読有り 国際誌
K. Miyase,K. Noda,H. Ito,K. Hatayama,T. Aikyo,Y. Yamato,X. Wen,and S. Kajihara
IEEE/ACM International Conference on Computer Aided Design 97 - 104 2009年11月
-
Power Supply Noise Reduction for At-Speed Scan Testing in Linear-Decompression Environment 査読有り 国際誌
M.-F. Wu,J.-L. Huang,X. Wen,K. Miyase
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 28 ( 11 ) 1767 - 1776 2009年11月
-
シグナルインテグリティ考慮型LSIテストを目指して 招待有り 査読有り
温 暁青
信頼性学会誌 31 ( 7 ) 498 - 505 2009年10月
-
LSI回路の低キャプチャ電力テスト生成技術 招待有り 査読有り
温暁青
情報・システムソサイエティ誌 ( 電子情報通信学会 情報・システムソサイエティ ) 14 ( 2 ) 16 - 16 2009年08月
-
On Calculation of Delay Range in Fault Simulation for Test Cubes 査読有り 国際誌
S. Oku,S. Kajihara,K. Miyase,X. Wen,Y. Sato
International Symposium on VLSI Design, Automation, and Test 64 - 67 2009年04月
-
Power-Aware Test Generation for Reducing Yield Loss Risk in At-Speed Scan Testing 招待有り 査読有り 国際誌
Y. Yamato,X. Wen,K. Miyase,H. Furukawa,S. Kajihara
Metrology, Reliability and Testing (International Semiconductor Technology Conference & China Semiconductor Technology International Conference) 231 - 236 2009年03月
-
Turbo1500: Core-Based Design for Test and Diagnosis Using IEEE Std. 1500 査読有り 国際誌
L..-T. Wang,R. Apte,S. Wu,B. Sheu,K.-J. Lee,X. Wen,W.-B. Jone,C.-H. Yeh,J. Guo,J. Liu,Y.-C. Sung
IEEE Design & Test of Computers 26 ( 1 ) 26 - 35 2009年01月
-
On Delay Calculation in 3-valued Fault Simulation 査読有り 国際誌
S. Oku,S. Kajihara,K. Miyase,X. Wen,Y. Sato
IEEE Workshop on RTL and High Level Testing 123 - 128 2008年11月
-
Practical Challenges in Logic BIST Implementation Case Studies 査読有り 国際誌
S. Wu,H. Furukawa,B. Sheu,L.-T. Wang,H.-J. Chao,L. Yu,X. Wen,M. Murakami
IEEE Asian Test Symposium 265 - 265 2008年11月
-
CTX: A Clock-Gating-Based Test Relaxation and X-Filling Scheme for Reducing Yield Loss Risk in At-Speed Scan Testing 査読有り 国際誌
H. Furukawa,X. Wen,K. Miyase,Yuta Yamato,S. Kajihara,Patrick Girard,L.-T. Wang,M. Teharanipoor
IEEE Asian Test Symposium 397 - 402 2008年11月
-
Effective IR-Drop Reduction in At-Speed Scan Testing Using Distribution-Controlling X-Identification 査読有り 国際誌
K. Miyase,K. Noda,H. Ito,K. Hatayama,T. Aikyo,Y. Yamato,H. Furukawa,X. Wen,S. Kajihara
IEEE/ACM International Conference on Computer Aided Design 52 - 58 2008年11月
-
GA-Based X-Filling for Reducing Launch Switching Activity in At-Speed Scan Testing 査読有り 国際誌
Y. Yamato,X. Wen,K. Miyase,H. Furukawa,S. Kajihara
IEEE Workshop on Defect and Date Driven Testing 4 Pages 2008年10月
-
Identification of IR-drop Hot-spots in Defective Power Distribution Network Using TDF ATPG 査読有り 国際誌
J. Ma,J. Lee,M. Tehranipoor,X. Wen,A. Crouch
IEEE Workshop on Defect and Date Driven Testing 7 Pages 2008年10月
-
Reducing Power Supply Noise in Linear-Decompressor-Based Test Data Compression Environment for At-Speed Scan Testing 査読有り 国際誌
M.-F. Wu,J.-L. Huang,X. Wen,K. Miyase
IEEE International Test Conference Paper 13.1 2008年10月
-
Turbo1500: Toward Core-Based Design for Test and Diagnosis Using IEEE Std. 1500 査読有り 国際誌
L.-T. Wang,R. Apte,S. Wu,B. Sheu,K.-J. Lee,X. Wen,W.-B. Jone,C.-H. Yeh,J. Guo,J. Liu,Y.-C. Sung
IEEE International Test Conference Paper 29.3 2008年10月
-
On Optimizing Pattern Count and ATPG Time Using A Hybrid Single-Capture Scheme for Testing Scan Designs 査読有り 国際誌
B. Sheu,L.-T. Wang,Z. Jiang,J. Soong,S. Wu,R. Apte,X. Wen,C.-M. Li
IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems 143 - 151 2008年10月
-
Test Strategies for Low-Power Devices 招待有り 査読有り 国際誌
C. P. Ravikumar,M. Hirech,X. Wen
Journal of Low Power Electronics 4 ( 2 ) 127 - 138 2008年08月
-
Estimation of Delay Test Quality and Its Application to Test Generation 査読有り 国際誌
S. Kajihara,S. Morishima,M. Yamamoto,X. Wen,M. Fukunaga,K. Hatayama,T. Aikyo
IPSJ Transaction of System LSI Design Methodology 1 104 - 115 2008年08月
-
A Capture-Safe Test Generation Scheme for At-Speed Scan Testing 査読有り 国際誌
X. Wen,K. Miyase,S. Kajihara,H. Furukawa,Y. Yamato,A. Takashima,K. Noda,H. Ito,K. Hatayama,T. Aikyo,K. K. Saluja
IEEE European Test Symposium 55 - 60 2008年05月
-
Diagnosis of Realistic Defects Based on X-Fault Model 査読有り 国際誌
I. Polian,Y. Nakamura,P. Engelke,S. Spinner,K. Miyase,S. Kajihara,B. Becker,X. Wen
IEEE International Workshop on Design and Diagnostics of Electronic Circuits and Systems 263 - 266 2008年04月
-
Test Strategies for Low-Power Devices 招待有り 査読有り 国際誌
C. P. Ravikumar,M. Hirech,X. Wen
Design Automation, and Test in Europe 728 - 733 2008年03月
-
VirtualScan: A Test Compression Technology Using Combinational Logic and One-Pass ATPG 査読有り 国際誌
L.-T. Wang,X. Wen,S. Wu,Z. Wang,Z. Jiang,B. Sheu,X. Gu
IEEE Design & Test of Computers 25 ( 2 ) 122 - 130 2008年03月
-
On Detection of Bridge Defects with Stuck-at Tests 査読有り 国際誌
K. Miyase,K. Terashima,X. Wen,S. Kajiihara,and S. M Reddy
IEICE Transactions on Information and Systems E91-D ( 3 ) 683 - 689 2008年03月
-
A Novel Per-Test Fault Diagnosis Method Based On the Extended X-Fault Model for Deep-Submicron LSI Circuits 査読有り 国際誌
Y. Yamato,Y. Nakamura,K. Miyase,X. Wen,and S. Kajihara
IEICE Transactions on Information and Systems E91-D ( 3 ) 667 - 674 2008年03月
-
Low Capture Switching Activity Test Generation for Reducing IR-Drop in At-Speed Scan Testing 査読有り 国際誌
X. Wen,K. Miyase,T. Suzuki,S. Kajiihara,L.-T. Wang,K. K. Saluja,K. Kinoshita
Journal of Electronic Testing: Theory and Applications, Special Issue on Low Power Testing 24 ( 4 ) 379 - 391 2008年01月
-
Estimation of Delay Test Quality and Its Application to Test Generation 査読有り 国際誌
S. Kajihara,S. Morishima,M. Yamamoto,X. Wen,M. Fukunaga,K. Hatayama,and T. Aikyo
IEEE/ACM International Conference on Computer Aided Design 413 - 417 2007年11月
-
A Method for Improving the Bridging Defect Coverage of a Transition Delay Test Set 査読有り 国際誌
K. Miyase,X. Wen,S. Kajihara,M. Haraguchi,H. Furukawa
IEEE International Workshop on Defect Based Testing 51 - 56 2007年10月
-
A Novel Scheme to Reduce Power Supply Noise for High-Quality At-Speed Scan Testing 査読有り 国際誌
X. Wen,K. Miyase,S. Kajihara,T. Suzuki,Y. Yamato,P. Girard,Y. Ohsumi,and L.-T. Wang
IEEE International Test Conference 25.1 2007年10月
-
A Novel ATPG Method for Capture Power Reduction During Scan Testing 査読有り 国際誌
X. Wen,S. Kajiihara,K. Miyase,T. Suzuki,K. K. Saluja,L.-T. Wang,K. Kinoshita
IEICE Transactions on Information and Systems E90-D ( 9 ) 1398 - 1405 2007年09月
-
Critical-Path-Aware X-Filling for Effective IR-Drop Reduction in At-Speed Scan Testing 査読有り 国際誌
X. Wen,K. Miyase,T. Suzuki,S. Kajihara,Y. Ohsumi,K. K. Saluja
IEEE/ACM Design Automation Conference 527 - 532 2007年06月
-
An Improved Method of Per-Test X-Fault Diagnosis for Deep-Submicron LSI Circuits 査読有り 国際誌
X. Wen,Y. Yamato,K. Miyase,S. Kajihara,L.-T. Wang,K. K. Saluja,K. Kinoshita
IEEE Workshop on RTL and High Level Testing 55 - 60 2006年11月
-
Test Data Compression Based on Clustered Random Access Scan 査読有り 国際誌
Y. Hu,C. Li,J. Li,Y. Han,X. Li,W. Wang,H. Li,L.-T. Wang,X. Wen
IEEE Asian Test Symposium 231 - 236 2006年11月
-
A Per-Test Fault Diagnosis Method Based on the X-Fault Model 査読有り 国際誌
X. Wen,S. Kajiihara,K. Miyase,Y. Yamato,L.-T. Wang,K. K. Saluja,K. Kinoshita
IEICE Transactions on Information and Systems E89-D ( 11 ) 2756 - 2765 2006年11月
-
A Framework of High-quality Transition Fault ATPG for Scan Circuits 査読有り 国際誌
S. Kajihara,S. Morishima,A. Takuma,X. Wen,T. Maeda,S. Hamada,Y. Sato
IEEE International Test Conference Paper 2.1 2006年10月
-
A Novel and Practical Control Scheme for Inter-Clock At-Speed Testing 査読有り 国際誌
H. Furukawa,X. Wen,L.-T. Wang,B. Sheu,Z. Jiang,S. Wu
IEEE International Test Conference Paper 17.2 2006年10月
-
Compression/Scan Co-Design for Reducing Test Data Volume, Scan-in Power Dissipation, and Test Application Time 査読有り 国際誌
Y. Hu,Y. Han,X. Li,H. Li,X. Wen
IEICE Transactions on Information and Systems E89-D ( 10 ) 2616 - 2625 2006年10月
-
A Highly-Guided X-Filling Method for Effective Low-Capture-Power Scan Test Generation 査読有り 国際誌
X. Wen,K. Miyase,T. Suzuki,Y. Yamato,S. Kajihara,L.-T. Wang,K. K. Saluja
IEEE International Conference on Computer Design 251 - 258 2006年10月
-
Hybrid Fault Simulation with Compiled and Event-Driven Methods 査読有り 国際誌
K. Taniguchi,H. Fujii,S. Kajihara,X. Wen
IEEE International Conference on Design & Test of Integrated Systems in Nanoscale Technology 240 - 243 2006年09月
-
A New Method for Low-Capture-Power Test Generation for Scan Testing 査読有り 国際誌
X. Wen,Y. Yamashita,S. Kajiihara,L.-T. Wang,K. K. Saluja,K. Kinoshita
IEICE Transactions on Information and Systems E89-D ( 5 ) 1679 - 1686 2006年05月
-
A New ATPG Method for Efficient Capture Power Reduction During Scan Testing 査読有り 国際誌
X. Wen,S. Kajihara,K. Miyase,T. Suzuki,K. K. Saluja,L.-T. Wang,K. S. Abdel-Hafez,K. Kinoshita
IEEE VLSI Test Symposium 58 - 63 2006年04月
-
A Dynamic Test Compaction Procedure for High-quality Path Delay Testing 査読有り 国際誌
M. Fukunaga,S. Kajihara,X. Wen,T. Maeda,S. Hamada,Y. Sato
IEEE/ACM Asian and South Pacific Design Automation Conference 348 - 353 2006年01月
-
On Improving Defect Coverage of Stuck-at Fault Tests 査読有り 国際誌
K. Miyase, K. Terashima, S. Kajihara, X. Wen, S. M. Reddy
IEEE Asian Test Symposium 216 - 223 2005年12月
-
Compression/Scan Co-Design for Reducing Test Data Volume, Scan-In Power Dissipation and Test Application Time 査読有り 国際誌
Y. Hu, Y. Han, X. Li, H. Li, X. Wen
IEEE Pacific Rim International Symposium on Dependable Computing 8 - 8 2005年12月
-
Efficient Test Set Modification for Capture Power Reduction 査読有り 国際誌
X. Wen,T. Suzuki,S. Kajihara,K. Miyase,Y. Minamoto,L.-T. Wang,K. K. Saluja
Jounal of Low Power Electrnics 1 ( 3 ) 319 - 330 2005年12月
-
Low-Capture-Power Test Generation for Scan-Based At-Speed Testing 査読有り 国際誌
X. Wen,Y. Yamashita,S. Morishima,S. Kajiihara,L.-T. Wang,K. K. Saluja,K. Kinoshita
IEEE International Test Conference Paper 39.2 2005年11月
-
UltraScan: Using Time-Division Demultiplexing/Multiplexing (TDDM/TDM) with VirtualScan for Test Cost Reduction 査読有り 国際誌
S. Wu,L.-T. Wang,K. S. Abdel-Hafez,B. Sheu,F. Hsu,S. Lin,M. Chang,X. Wen
IEEE International Test Conference Paper 36.4 2005年11月
-
At-Speed Logic BIST Archtecture for Multiple-Clock Circuits 査読有り 国際誌
L.-T. Wang,X. Wen,B. Hsu,S. Wu,J. Guo
IEEE International Conference on Computer Design 475 - 478 2005年10月
-
Wrapper Scan Chains Design for Rapid and Low Power Testing of Embedded Cores 査読有り 国際誌
Y. Han, Y. Hu, X. Li, H. Li, A. Chandra, X. Wen
IEICE Transactions on Information and Systems E88-D ( 9 ) 2126 - 2134 2005年09月
-
A Method for Low-Capture-Power At-Speed Test Generation 査読有り 国際誌
X. Wen,Y. Yamashita,S. Morishima,S. Kajiihara,L.-T. Wang,K. K. Saluja,K. Kinoshita
IEEE Workshop on RTL and High Level Testing 40 - 49 2005年07月
-
On Quantifying Observability for Fault Diagnosis of VLSI Circuits 査読有り 国際誌
N. Toyota,X. Wen,S. Kajihara,M. Sanada
IEEE Workshop on RTL and High Level Testing 192 - 197 2005年07月
-
Path Delay Test Compaction with Process Variation Tolerance 査読有り 国際誌
S. Kajihara,M. Fukunaga,X. Wen,T. Maeda,S. Hamada,Y. Sato
IEEE/ACM Design Automation Conference 845 - 850 2005年06月
-
On the Extraction of a Minimum Cube to Justify Signal Line Values 査読有り 国際誌
K. Miyase,S. Nagayama,S. Kajihara,X. Wen,S. M. Reddy
IEEE European Test Symposium 79 - 84 2005年05月
-
On Low-Capture-Power Test Generation for Scan Testing 査読有り 国際誌
X. Wen,Y. Yamashita,S. Kajiihara,L.-T. Wang,K. K. Saluja,K. Kinoshita
IEEE VLSI Test Symposium 265 - 270 2005年05月
-
On Design for IDDQ-Based Diagnosability of CMOS Circuits Using Multiple Power Supplies 査読有り 国際誌
X. Wen,S. Kajihara,H. Tamamoto,K. K. Saluja,K. Kinoshita
IEICE Transactions on Information and Systems E88-D ( 4 ) 703 - 710 2005年04月
-
中間故障電圧値を扱う故障シミュレーションの高速化について 査読有り
温暁青,梶原誠司,玉本英夫,K. K. Saluja,樹下行三
電子情報通信学会論文誌D-I J88-D-I ( 4 ) 906 - 907 2005年04月
-
At-Speed Logic BIST for IP Cores 査読有り 国際誌
B. Cheon,E. Lee,L.-T. Wang,X. Wen,P. Hsu,J. Cho,J. Park,H. Chao,S. Wu
Design Automation, and Test in Europe 860 - 861 2005年03月
-
Fault Diagnosis for Physical Defects using Unknown Behavior Model 査読有り 国際誌
X. Wen,H. Tamamoto,K. K. Saluja,K. Kinoshita
Journal of Computer Science and Technology 20 ( 2 ) 187 - 194 2005年03月
-
Test Compression for Scan Circuits Using Scan Polarity Adjustment and Pinpoint Test Relaxation 査読有り 国際誌
Y. Doi,S. Kajihara,X. Wen,L. Li,and K. Chakrabarty
ACM Asian and South Pacific Design Automation Conference 59 - 64 2005年01月
-
On Extraction of a Cube with the Minimum Number of Literals from a Given Input Vector 査読有り 国際誌
K. Miyase,S. Nagayama,S. Kajihara,X. Wen,and S. M. Reddy
IEEE Workshop on RTL and High Level Testing 71 - 76 2004年11月
-
On Per-Test Fault Diagnosis Using the X-Fault Model 査読有り 国際誌
X. Wen,T. Miyoshi,S. Kajiihara,L. Wang,K. K. Saluja,and K. Kinoshita
IEEE/ACM International Conference on Computer Aided Design 633 - 640 2004年11月
-
VirtualScan: A New Compressed Scan Technology for Test Cost Reduction 査読有り 国際誌
L.-T. Wang,X. Wen,H. Furukawa,F. Hsu,S. Lin,S. Tsai,K. S. Abdel-Hafez,S. Wu
IEEE International Test Conference 916 - 925 2004年10月
-
ロジックBIST技術の現状と課題 招待有り 査読有り
温暁青,梶原誠司
日本信頼性学会誌 ( 未設定 ) 26 ( 4 ) 252 - 262 2004年06月